Index of /alpine/edge/testing/x86_64

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 19:03 2.3KUbuntu Linux
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 19:03 16KUbuntu Linux
[   ]kodi-vfs-sftp-20.2.0-r1.apk2024-10-25 19:01 53KKodi
[   ]kodi-vfs-sacd-20.1.0-r1.apk2024-10-25 19:01 93KKodi
[   ]kodi-vfs-rar-20.1.0-r1.apk2024-10-25 19:01 401KKodi
[   ]kodi-vfs-libarchive-21.0.2-r0.apk2025-03-02 16:15 111KKodi
[   ]kodi-pvr-iptvsimple-21.10.2-r0.apk2025-03-02 16:15 926KKodi
[   ]kodi-pvr-hts-21.2.6-r0.apk2025-03-02 16:15 298KKodi
[   ]kodi-peripheral-joystick-20.1.9-r0.apk2024-10-25 19:01 215KKodi
[   ]kodi-inputstream-rtmp-21.1.2-r0.apk2025-03-02 16:15 87KKodi
[   ]kodi-inputstream-ffmpegdirect-21.3.7-r0.apk2025-03-02 16:15 347KKodi
[   ]kodi-inputstream-adaptive-21.5.9-r0.apk2025-03-02 16:15 1.0MKodi
[   ]kodi-game-libretro-theodore-0.0.1.32-r0.apk2024-10-25 19:01 16KKodi
[   ]kodi-game-libretro-snes9x-1.62.3.45-r0.apk2024-10-25 19:01 26KKodi
[   ]kodi-game-libretro-nestopia-1.52.0.41-r0.apk2024-10-25 19:01 23KKodi
[   ]kodi-game-libretro-mupen64plus-nx-2.5.0.40-r0.apk2024-10-25 19:01 127KKodi
[   ]kodi-game-libretro-mgba-0.11.0.44-r0.apk2024-10-25 19:01 19KKodi
[   ]kodi-game-libretro-mame2003-0.78.0.54-r0.apk2024-10-25 19:01 1.3MKodi
[   ]kodi-game-libretro-mame2000-0.37.0.32-r0.apk2024-10-25 19:01 326KKodi
[   ]kodi-game-libretro-frodo-0.0.1.25-r0.apk2024-10-25 19:01 160KKodi
[   ]kodi-game-libretro-fbneo-1.0.0.71-r0.apk2024-10-25 19:01 180KKodi
[   ]kodi-game-libretro-dosbox-0.74.0.25-r0.apk2024-10-25 19:01 74KKodi
[   ]kodi-game-libretro-desmume-0.0.1.28-r0.apk2024-10-25 19:01 64KKodi
[   ]kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk2024-10-25 19:01 18KKodi
[   ]kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk2024-10-25 19:01 16KKodi
[   ]kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk2024-10-25 19:01 20KKodi
[   ]kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk2024-10-25 19:01 20KKodi
[   ]kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk2024-10-25 19:01 64KKodi
[   ]kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk2024-10-25 19:01 54KKodi
[   ]kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk2024-10-25 19:01 21KKodi
[   ]kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk2024-10-25 19:01 17KKodi
[   ]kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk2024-10-25 19:01 15KKodi
[   ]kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk2024-10-25 19:01 15KKodi
[   ]kodi-game-libretro-atari800-3.1.0.28-r0.apk2024-10-25 19:01 241KKodi
[   ]kodi-game-libretro-20.1.0-r0.apk2024-10-25 19:01 117KKodi
[   ]kodi-audioencoder-wav-20.2.0-r1.apk2024-10-25 19:01 27KKodi
[   ]kodi-audioencoder-vorbis-20.2.0-r1.apk2024-10-25 19:01 35KKodi
[   ]kodi-audioencoder-lame-20.3.0-r1.apk2024-10-25 19:01 92KKodi
[   ]kodi-audioencoder-flac-20.2.0-r1.apk2024-10-25 19:01 43KKodi
[   ]prometheus-ceph-exporter-openrc-4.2.5-r4.apk2025-05-14 00:10 1.9KCeph
[   ]prometheus-ceph-exporter-4.2.5-r4.apk2025-05-14 00:10 3.7MCeph
[   ]alpine-lift-0.2.0-r23.apk2025-05-14 00:10 3.8MAlpine Linux
[   ]zydis-doc-4.1.0-r0.apk2024-10-25 19:04 1.6M 
[   ]zydis-dev-4.1.0-r0.apk2024-10-25 19:04 61K 
[   ]zydis-4.1.0-r0.apk2024-10-25 19:04 206K 
[   ]zycore-doc-1.5.0-r0.apk2024-10-25 19:04 394K 
[   ]zycore-dev-1.5.0-r0.apk2024-10-25 19:04 38K 
[   ]zycore-1.5.0-r0.apk2024-10-25 19:04 21K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-12 01:07 21K 
[   ]zvbi-0.2.44-r0.apk2025-03-12 01:07 170K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 21:00 67K 
[   ]zutty-0.16-r0.apk2025-01-12 21:00 149K 
[   ]zulip-desktop-5.12.0-r2.apk2025-05-10 23:27 2.2M 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 19:04 2.9K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-25 19:04 749K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 19:04 16K 
[   ]zrepl-zsh-completion-0.6.1-r11.apk2025-05-14 00:11 2.0K 
[   ]zrepl-openrc-0.6.1-r11.apk2025-05-14 00:11 1.7K 
[   ]zrepl-bash-completion-0.6.1-r11.apk2025-05-14 00:11 4.5K 
[   ]zrepl-0.6.1-r11.apk2025-05-14 00:11 7.0M 
[   ]zot-openrc-2.1.2-r4.apk2025-05-14 00:11 2.1K 
[   ]zot-exporter-2.1.2-r4.apk2025-05-14 00:11 5.2M 
[   ]zot-doc-2.1.2-r4.apk2025-05-14 00:11 7.7K 
[   ]zot-cli-zsh-completion-2.1.2-r4.apk2025-05-14 00:11 4.0K 
[   ]zot-cli-fish-completion-2.1.2-r4.apk2025-05-14 00:11 4.3K 
[   ]zot-cli-bash-completion-2.1.2-r4.apk2025-05-14 00:11 5.1K 
[   ]zot-cli-2.1.2-r4.apk2025-05-14 00:11 10M 
[   ]zot-2.1.2-r4.apk2025-05-14 00:11 74M 
[   ]zlevis-1.3-r0.apk2025-03-14 17:31 5.2K 
[   ]zizmor-doc-1.9.0-r0.apk2025-06-04 23:05 2.3K 
[   ]zizmor-1.9.0-r0.apk2025-06-04 23:05 3.4M 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-14 21:16 4.0K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-14 21:16 3.3K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-14 21:16 18K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 19:04 5.2K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 19:04 29K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 19:04 16K 
[   ]zile-2.6.2-r1.apk2024-10-25 19:04 119K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 19:04 16K 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 19:04 128K 
[   ]zfs-src-2.3.3-r0.apk2025-06-21 14:16 33M 
[   ]zed-0.138.4-r5.apk2025-03-13 23:56 33M 
[   ]zarf-0.32.1-r10.apk2025-05-14 00:10 56M 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 19:04 23K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 19:04 6.8K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 19:04 16K 
[   ]zapzap-pyc-6.0.1.8-r0.apk2025-04-13 23:48 126K 
[   ]zapzap-6.0.1.8-r0.apk2025-04-13 23:48 109K 
[   ]zaproxy-2.16.1-r0.apk2025-04-03 00:12 224M 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 19:04 2.1K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 19:04 98K 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 19:04 81K 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 19:04 19M 
[   ]z-doc-1.12-r0.apk2024-10-25 19:04 3.9K 
[   ]z-1.12-r0.apk2024-10-25 19:04 4.6K 
[   ]yubikey-agent-0.1.6-r12.apk2025-05-14 00:10 1.9M 
[   ]ytt-0.52.0-r1.apk2025-05-14 00:10 4.7M 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-14 18:16 2.1K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-14 18:16 78K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-14 18:16 2.3K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-14 18:16 50K 
[   ]youtube-viewer-gtk-3.11.6-r0.apk2025-06-09 05:05 172K 
[   ]youtube-viewer-doc-3.11.6-r0.apk2025-06-09 05:05 41K 
[   ]youtube-viewer-3.11.6-r0.apk2025-06-09 05:05 84K 
[   ]youtube-tui-0.8.3-r0.apk2025-05-14 00:10 1.4M 
[   ]youki-dbg-0.4.1-r0.apk2024-10-25 19:04 4.6K 
[   ]youki-0.4.1-r0.apk2024-10-25 19:04 1.5M 
[   ]yosys-dev-0.42-r1.apk2025-06-12 13:59 117K 
[   ]yosys-0.42-r1.apk2025-06-12 13:59 20M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-13 22:33 4.5M 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-13 22:33 6.1M 
[   ]yoe-kiosk-browser-0_git20231118-r0.apk2024-10-25 19:04 9.0K 
[   ]yodl-doc-4.02.00-r1.apk2024-10-25 19:04 59K 
[   ]yodl-4.02.00-r1.apk2024-10-25 19:04 142K 
[   ]yices2-libs-2.6.5-r0.apk2025-03-02 23:05 840K 
[   ]yices2-dev-2.6.5-r0.apk2025-03-02 23:05 41K 
[   ]yices2-2.6.5-r0.apk2025-03-02 23:05 2.2M 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 19:04 2.2K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 19:04 11K 
[   ]ydcv-0.7-r8.apk2024-10-25 19:04 20K 
[   ]yass-2.5.0-r0.apk2024-10-25 19:04 14M 
[   ]yaru-theme-viridian-23.10.0-r2.apk2025-03-13 06:05 760K 
[   ]yaru-theme-sage-23.10.0-r2.apk2025-03-13 06:05 762K 
[   ]yaru-theme-red-23.10.0-r2.apk2025-03-13 06:05 760K 
[   ]yaru-theme-purple-23.10.0-r2.apk2025-03-13 06:05 756K 
[   ]yaru-theme-prussiangreen-23.10.0-r2.apk2025-03-13 06:05 760K 
[   ]yaru-theme-olive-23.10.0-r2.apk2025-03-13 06:05 759K 
[   ]yaru-theme-mate-23.10.0-r2.apk2025-03-13 06:05 727K 
[   ]yaru-theme-magenta-23.10.0-r2.apk2025-03-13 06:05 761K 
[   ]yaru-theme-hdpi-23.10.0-r2.apk2025-03-13 06:05 73K 
[   ]yaru-theme-blue-23.10.0-r2.apk2025-03-13 06:05 765K 
[   ]yaru-theme-bark-23.10.0-r2.apk2025-03-13 06:05 763K 
[   ]yaru-theme-23.10.0-r2.apk2025-03-13 06:05 839K 
[   ]yaru-sounds-23.10.0-r2.apk2025-03-13 06:05 676K 
[   ]yaru-shell-23.10.0-r2.apk2025-03-13 06:05 735K 
[   ]yaru-schemas-23.10.0-r2.apk2025-03-13 06:05 1.8K 
[   ]yaru-icon-theme-viridian-23.10.0-r2.apk2025-03-13 06:05 1.1M 
[   ]yaru-icon-theme-sage-23.10.0-r2.apk2025-03-13 06:05 1.1M 
[   ]yaru-icon-theme-red-23.10.0-r2.apk2025-03-13 06:05 1.1M 
[   ]yaru-icon-theme-purple-23.10.0-r2.apk2025-03-13 06:05 1.1M 
[   ]yaru-icon-theme-prussiangreen-23.10.0-r2.apk2025-03-13 06:05 1.1M 
[   ]yaru-icon-theme-olive-23.10.0-r2.apk2025-03-13 06:05 1.1M 
[   ]yaru-icon-theme-mate-23.10.0-r2.apk2025-03-13 06:05 1.2M 
[   ]yaru-icon-theme-magenta-23.10.0-r2.apk2025-03-13 06:05 1.1M 
[   ]yaru-icon-theme-blue-23.10.0-r2.apk2025-03-13 06:05 1.1M 
[   ]yaru-icon-theme-bark-23.10.0-r2.apk2025-03-13 06:05 1.0M 
[   ]yaru-icon-theme-23.10.0-r2.apk2025-03-13 06:05 35M 
[   ]yaru-common-23.10.0-r2.apk2025-03-13 06:05 4.5K 
[   ]yarn-berry-4.9.1-r0.apk2025-04-15 22:37 1.0M 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 19:04 12K 
[   ]yamkix-0.10.0-r1.apk2024-10-25 19:04 14K 
[   ]yaegi-0.16.1-r8.apk2025-05-14 00:10 7.7M 
[   ]xwaylandvideobridge-lang-0.4.0-r1.apk2024-10-25 19:04 11K 
[   ]xwaylandvideobridge-0.4.0-r1.apk2024-10-25 19:04 47K 
[   ]xwayland-satellite-0.6-r0.apk2025-06-18 23:58 864K 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 19:04 11K 
[   ]xvkbd-4.1-r2.apk2024-10-25 19:04 295K 
[   ]xvile-9.8z_p1-r0.apk2025-03-21 16:21 808K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 19:04 4.2K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 19:04 17K 
[   ]xva-img-1.5-r0.apk2024-10-25 19:04 17K 
[   ]xtl-0.7.7-r0.apk2024-10-25 19:04 111K 
[   ]xtensor-0.25.0-r0.apk2025-01-15 02:50 267K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 19:04 2.6K 
[   ]xsoldier-1.8-r2.apk2024-10-25 19:04 67K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 19:04 18K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 19:04 63K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 19:04 440K 
[   ]xsane-doc-0.999-r2.apk2024-10-25 19:04 4.3K 
[   ]xsane-0.999-r2.apk2024-10-25 19:04 1.5M 
[   ]xosview-doc-1.24-r0.apk2024-10-25 19:04 13K 
[   ]xosview-1.24-r0.apk2024-10-25 19:04 133K 
[   ]xonsh-pyc-0.19.1-r0.apk2025-02-05 22:22 1.0M 
[   ]xonsh-0.19.1-r0.apk2025-02-05 22:22 585K 
[   ]xone-src-0.3_git20230517-r0.apk2024-10-25 19:04 43K 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 19:04 16K 
[   ]xmpp-dns-0.2.4-r24.apk2025-05-14 00:10 1.9M 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 19:04 5.3K 
[   ]xmp-4.2.0-r0.apk2024-10-25 19:04 22K 
[   ]xml2rfc-pyc-3.28.1-r0.apk2025-04-18 11:01 407K 
[   ]xml2rfc-3.28.1-r0.apk2025-04-18 11:01 352K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 19:04 4.7K 
[   ]xmag-1.0.8-r0.apk2024-10-25 19:04 16K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 19:04 3.2K 
[   ]xload-1.1.4-r0.apk2024-10-25 19:04 7.0K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 19:04 2.5K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 19:04 11K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-14 18:16 2.1K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-14 18:16 18K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 19:04 2.0K 
[   ]xisxwayland-2-r1.apk2024-10-25 19:04 3.9K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 19:04 3.3K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 19:04 16K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 19:04 2.6K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 19:04 311K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 19:04 4.9K 
[   ]xfd-1.1.4-r0.apk2024-10-25 19:04 14K 
[   ]xfce4-panel-profiles-lang-1.0.14-r1.apk2024-10-25 19:04 44K 
[   ]xfce4-panel-profiles-doc-1.0.14-r1.apk2024-10-25 19:04 19K 
[   ]xfce4-panel-profiles-1.0.14-r1.apk2024-10-25 19:04 57K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 19:04 59K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 19:04 2.5K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 19:04 87K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 19:04 5.1K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 19:04 38K 
[   ]xf86-video-vboxvideo-doc-1.0.0-r1.apk2024-10-25 19:04 3.2K 
[   ]xf86-video-vboxvideo-1.0.0-r1.apk2024-10-25 19:04 17K 
[   ]xendmail-doc-0.4.3-r0.apk2024-10-25 19:04 2.5K 
[   ]xendmail-0.4.3-r0.apk2024-10-25 19:04 1.0M 
[   ]xemu-0.8.74-r0.apk2025-06-19 00:46 4.3M 
[   ]xed-python-3.8.2-r0.apk2025-02-05 22:22 24K 
[   ]xed-lang-3.8.2-r0.apk2025-02-05 22:22 2.1M 
[   ]xed-doc-3.8.2-r0.apk2025-02-05 22:22 971K 
[   ]xed-dev-3.8.2-r0.apk2025-02-05 22:22 14K 
[   ]xed-3.8.2-r0.apk2025-02-05 22:22 1.1M 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 19:04 70K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk2024-10-25 19:04 2.4K 
[   ]xdg-desktop-portal-hyprland-1.3.3-r0.apk2024-10-25 19:04 256K 
[   ]xdg-desktop-portal-cosmic-1.0.0_alpha7-r0.apk2025-04-25 10:49 8.3M 
[   ]xcompmgr-doc-1.1.9-r0.apk2024-10-25 19:04 2.6K 
[   ]xcompmgr-1.1.9-r0.apk2024-10-25 19:04 15K 
[   ]xcape-doc-1.2-r1.apk2025-05-14 18:16 3.1K 
[   ]xcape-1.2-r1.apk2025-05-14 18:16 6.6K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 12:36 17K 
[   ]xa-2.4.1-r0.apk2025-02-25 12:36 74K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 19:04 9.4K 
[   ]x11docker-7.6.0-r1.apk2024-10-25 19:04 113K 
[   ]wtfutil-0.43.0-r13.apk2025-05-14 00:10 20M 
[   ]wsmancli-doc-2.6.2-r0.apk2024-10-25 19:04 3.7K 
[   ]wsmancli-2.6.2-r0.apk2024-10-25 19:04 20K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 19:04 15K 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 19:04 1.7K 
[   ]wroomd-0.1.0-r0.apk2024-10-25 19:04 1.0M 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 19:04 8.2K 
[   ]wput-0.6.2-r4.apk2024-10-25 19:04 39K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 19:04 2.2K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 19:04 10K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-10-25 19:04 172K 
[   ]wolfssh-1.4.17-r0.apk2024-10-25 19:04 134K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 19:04 8.2K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 19:04 5.5K 
[   ]wol-0.7.1-r3.apk2024-10-25 19:04 25K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 19:04 119K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 19:04 16K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 19:04 3.7K 
[   ]wok-3.0.0-r6.apk2024-10-25 19:04 157K 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 19:04 2.4M 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 19:04 5.1K 
[   ]wmctrl-1.07-r1.apk2024-10-25 19:04 14K 
[   ]wlroots0.17-dev-0.17.4-r1.apk2024-11-24 10:45 77K 
[   ]wlroots0.17-dbg-0.17.4-r1.apk2024-11-24 10:45 1.4M 
[   ]wlroots0.17-0.17.4-r1.apk2024-11-24 10:45 367K 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 13:47 71K 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 13:47 1.3M 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 13:47 331K 
[   ]wlroots0.15-dev-0.15.1-r6.apk2024-10-25 19:04 70K 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2024-10-25 19:04 1.2M 
[   ]wlroots0.15-0.15.1-r6.apk2024-10-25 19:04 282K 
[   ]wlroots0.12-dev-0.12.0-r1.apk2024-10-25 19:04 61K 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2024-10-25 19:04 1.0M 
[   ]wlroots0.12-0.12.0-r1.apk2024-10-25 19:04 244K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 19:04 3.3K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 19:04 17K 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 19:04 12K 
[   ]wl-screenrec-zsh-completion-0.1.7-r0.apk2025-04-13 21:32 3.7K 
[   ]wl-screenrec-fish-completion-0.1.7-r0.apk2025-04-13 21:32 3.2K 
[   ]wl-screenrec-doc-0.1.7-r0.apk2025-04-13 21:32 9.5K 
[   ]wl-screenrec-bash-completion-0.1.7-r0.apk2025-04-13 21:32 2.4K 
[   ]wl-screenrec-0.1.7-r0.apk2025-04-13 21:32 544K 
[   ]wl-kbptr-full-0.3.0-r1.apk2025-06-02 20:52 29K 
[   ]wl-kbptr-doc-0.3.0-r1.apk2025-06-02 20:52 4.0K 
[   ]wl-kbptr-0.3.0-r1.apk2025-06-02 20:52 22K 
[   ]wl-ime-type-doc-0.1.1-r0.apk2025-04-13 21:32 2.2K 
[   ]wl-ime-type-0.1.1-r0.apk2025-04-13 21:32 5.8K 
[   ]wl-gammarelay-0.1.1-r14.apk2025-05-14 00:10 1.7M 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 19:04 2.9K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 19:04 3.4K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-25 19:04 2.1K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-25 19:04 166K 
[   ]witchery-0.0.3-r2.apk2024-10-25 19:04 3.2K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 19:04 22K 
[   ]winetricks-doc-20250102-r0.apk2025-02-05 22:22 3.7K 
[   ]winetricks-bash-completion-20250102-r0.apk2025-02-05 22:22 8.6K 
[   ]winetricks-20250102-r0.apk2025-02-05 22:22 172K 
[   ]wine-staging-doc-10.9-r0.apk2025-06-02 03:03 43K 
[   ]wine-staging-dev-10.9-r0.apk2025-06-02 03:03 13M 
[   ]wine-staging-10.9-r0.apk2025-06-02 03:03 126M 
[   ]wine-mono-10.0.0-r0.apk2025-05-10 03:12 80M 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 19:04 4.6K 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 19:04 1.5M 
[   ]wiimms-szs-tools-doc-2.26a-r0.apk2024-10-25 19:04 50K 
[   ]wiimms-szs-tools-2.26a-r0.apk2024-10-25 19:04 5.0M 
[   ]wiimms-iso-tools-doc-3.04a-r1.apk2024-10-25 19:04 65K 
[   ]wiimms-iso-tools-3.04a-r1.apk2024-10-25 19:04 3.6M 
[   ]whipper-pyc-0.10.0-r5.apk2024-10-25 19:04 185K 
[   ]whipper-0.10.0-r5.apk2024-10-25 19:04 113K 
[   ]whatsie-doc-4.16.3-r0.apk2025-01-12 13:59 2.2K 
[   ]whatsie-4.16.3-r0.apk2025-01-12 13:59 15M 
[   ]wgcf-zsh-completion-2.2.26-r0.apk2025-05-16 14:50 4.0K 
[   ]wgcf-fish-completion-2.2.26-r0.apk2025-05-16 14:50 4.3K 
[   ]wgcf-bash-completion-2.2.26-r0.apk2025-05-16 14:50 6.1K 
[   ]wgcf-2.2.26-r0.apk2025-05-16 14:50 4.1M 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 20:17 3.1K 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 20:17 1.7K 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 20:17 6.1M 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 20:17 16K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 20:17 106K 
[   ]welle-io-doc-2.7-r0.apk2025-04-07 19:40 4.0K 
[   ]welle-io-2.7-r0.apk2025-04-07 19:40 401K 
[   ]welle-cli-2.7-r0.apk2025-04-07 19:40 305K 
[   ]weggli-0.2.4-r1.apk2024-10-25 19:04 823K 
[   ]webtunnel-0.0.2-r1.apk2025-05-14 00:10 3.7M 
[   ]webhookd-openrc-1.20.2-r0.apk2025-06-17 22:09 2.3K 
[   ]webhookd-doc-1.20.2-r0.apk2025-06-17 22:09 2.3K 
[   ]webhookd-1.20.2-r0.apk2025-06-17 22:09 3.4M 
[   ]wcm-0.9.0-r0.apk2025-02-27 20:17 366K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 19:04 1.6K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 19:04 2.7K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 19:04 10K 
[   ]wbg-1.2.0-r1.apk2025-05-14 18:16 37K 
[   ]wazero-1.9.0-r2.apk2025-05-14 00:10 2.1M 
[   ]wayqt-dev-0.3.0-r0.apk2025-04-21 21:41 18K 
[   ]wayqt-0.3.0-r0.apk2025-04-21 21:41 101K 
[   ]wayprompt-doc-0.1.2-r2.apk2025-05-24 02:16 6.1K 
[   ]wayprompt-0.1.2-r2.apk2025-05-24 02:16 469K 
[   ]waynergy-0.0.17-r0.apk2024-10-25 19:04 46K 
[   ]waylevel-1.0.0-r1.apk2024-10-25 19:04 303K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 20:17 544K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 20:17 3.6K 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 20:17 129K 
[   ]wayfire-0.9.0-r0.apk2025-02-27 20:17 2.4M 
[   ]waycheck-1.6.0-r0.apk2025-02-23 22:12 42K 
[   ]way-secure-doc-0.2.0-r0.apk2025-04-18 22:14 3.0K 
[   ]way-secure-0.2.0-r0.apk2025-04-18 22:14 196K 
[   ]way-displays-doc-1.14.0-r0.apk2025-04-20 19:57 4.5K 
[   ]way-displays-1.14.0-r0.apk2025-04-20 19:57 104K 
[   ]watershot-0.2.0-r0.apk2024-10-25 19:04 1.7M 
[   ]watchmate-0.5.3-r0.apk2025-05-16 17:20 2.2M 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 19:04 14K 
[   ]watchdog-5.16-r2.apk2024-10-25 19:04 42K 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 19:04 6.6K 
[   ]watchbind-0.2.1-r1.apk2024-10-25 19:04 1.1M 
[   ]wasmtime-dev-28.0.1-r0.apk2025-01-19 14:15 54K 
[   ]wasmtime-28.0.1-r0.apk2025-01-19 14:15 6.4M 
[   ]warzone2100-lang-4.5.5-r3.apk2025-04-10 21:03 2.6M 
[   ]warzone2100-doc-4.5.5-r3.apk2025-04-10 21:03 1.5M 
[   ]warzone2100-data-4.5.5-r3.apk2025-04-10 21:03 328M 
[   ]warzone2100-4.5.5-r3.apk2025-04-10 21:03 6.5M 
[   ]warpinator-nemo-1.8.8-r3.apk2025-06-11 17:37 4.2K 
[   ]warpinator-lang-1.8.8-r3.apk2025-06-11 17:37 222K 
[   ]warpinator-1.8.8-r3.apk2025-06-11 17:37 216K 
[   ]warp-s3-1.1.4-r0.apk2025-05-14 21:25 7.3M 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 19:03 7.8K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 19:03 5.5K 
[   ]walk-doc-1.13.0-r3.apk2025-05-14 00:10 2.2K 
[   ]walk-1.13.0-r3.apk2025-05-14 00:10 3.1M 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 19:03 7.5K 
[   ]wakeonlan-0.42-r0.apk2024-10-25 19:03 4.5K 
[   ]waifu2x-converter-cpp-5.3.4-r8.apk2025-01-16 22:12 12M 
[   ]wabt-doc-1.0.37-r0.apk2025-04-06 21:41 13K 
[   ]wabt-1.0.37-r0.apk2025-04-06 21:41 4.0M 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-11 17:37 4.2K 
[   ]w_scan2-1.0.17-r0.apk2025-06-11 17:37 133K 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 19:03 3.4M 
[   ]vym-2.9.26-r0.apk2024-10-25 19:03 2.8M 
[   ]vscodium-zsh-completion-1.100.03093-r0.apk2025-05-26 10:02 2.7K 
[   ]vscodium-bash-completion-1.100.03093-r0.apk2025-05-26 10:02 2.2K 
[   ]vscodium-1.100.03093-r0.apk2025-05-26 10:02 26M 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 19:03 3.8K 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 19:03 43K 
[   ]volatility3-pyc-2.11.0-r0.apk2025-02-22 14:39 1.0M 
[   ]volatility3-doc-2.11.0-r0.apk2025-02-22 14:39 3.1K 
[   ]volatility3-2.11.0-r0.apk2025-02-22 14:39 563K 
[   ]voikko-fi-2.5-r0.apk2024-10-25 19:03 1.6M 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 19:03 8.0K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 19:03 12K 
[   ]vlang-0.4.11-r0.apk2025-06-21 13:57 30M 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 19:03 3.1K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 19:03 404K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 19:03 151K 
[   ]vit-2.3.2-r1.apk2024-10-25 19:03 80K 
[   ]visidata-zsh-completion-3.2-r0.apk2025-06-20 11:40 9.3K 
[   ]visidata-pyc-3.2-r0.apk2025-06-20 11:40 841K 
[   ]visidata-doc-3.2-r0.apk2025-06-20 11:40 18K 
[   ]visidata-3.2-r0.apk2025-06-20 11:40 421K 
[   ]virtualgl-doc-3.1.3-r0.apk2025-04-21 21:45 314K 
[   ]virtualgl-dev-3.1.3-r0.apk2025-04-21 21:45 6.1K 
[   ]virtualgl-3.1.3-r0.apk2025-04-21 21:45 1.9M 
[   ]virter-zsh-completion-0.29.0-r0.apk2025-05-16 08:40 4.1K 
[   ]virter-fish-completion-0.29.0-r0.apk2025-05-16 08:40 4.3K 
[   ]virter-doc-0.29.0-r0.apk2025-05-16 08:40 15K 
[   ]virter-bash-completion-0.29.0-r0.apk2025-05-16 08:40 6.1K 
[   ]virter-0.29.0-r0.apk2025-05-16 08:40 6.0M 
[   ]virtctl-zsh-completion-1.5.1-r0.apk2025-05-18 21:50 4.0K 
[   ]virtctl-fish-completion-1.5.1-r0.apk2025-05-18 21:50 4.3K 
[   ]virtctl-bash-completion-1.5.1-r0.apk2025-05-18 21:50 5.1K 
[   ]virtctl-1.5.1-r0.apk2025-05-18 21:50 15M 
[   ]vim-rust-305-r0.apk2024-10-25 19:03 56K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 21:04 67K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 19:03 12K 
[   ]vim-airline-0.11-r0.apk2024-10-25 19:03 86K 
[   ]vile-doc-9.8z_p1-r0.apk2025-03-21 16:21 357K 
[   ]vile-common-9.8z_p1-r0.apk2025-03-21 16:21 354K 
[   ]vile-9.8z_p1-r0.apk2025-03-21 16:21 780K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 19:03 85K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 19:03 2.1K 
[   ]viewnior-1.8-r1.apk2024-10-25 19:03 72K 
[   ]video-trimmer-lang-0.9.0-r0.apk2024-10-25 19:03 91K 
[   ]video-trimmer-0.9.0-r0.apk2024-10-25 19:03 776K 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 19:03 1.9M 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 19:03 24K 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 19:03 2.8M 
[   ]vice-doc-3.9-r0.apk2025-02-24 21:28 2.2M 
[   ]vice-3.9-r0.apk2025-02-24 21:28 13M 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 19:03 25K 
[   ]vera++-1.3.0-r10.apk2024-10-25 19:03 247K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 19:03 2.3K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 19:03 282K 
[   ]vector-openrc-0.47.0-r1.apk2025-06-12 13:59 2.2K 
[   ]vector-doc-0.47.0-r1.apk2025-06-12 13:59 5.4K 
[   ]vector-0.47.0-r1.apk2025-06-12 13:59 23M 
[   ]vdr-plugin-dvbapi-lang-2.2.5-r0.apk2024-10-25 19:03 2.5K 
[   ]vdr-plugin-dvbapi-2.2.5-r0.apk2024-10-25 19:03 61K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 19:03 1.7K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 19:03 1.6K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 19:03 58K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 19:03 1.8K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 19:03 35K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 19:03 2.9K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 19:03 27K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 19:03 2.9K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 19:03 8.8K 
[   ]vcmi-1.6.8-r0.apk2025-04-25 21:17 13M 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-22 10:33 74K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-22 10:33 119K 
[   ]vcdimager-2.0.1-r5.apk2025-01-22 10:33 475K 
[   ]vbindiff-doc-3.0_beta5-r2.apk2025-05-25 07:49 5.5K 
[   ]vbindiff-3.0_beta5-r2.apk2025-05-25 07:49 20K 
[   ]varnish-modules-doc-0.24.0-r0.apk2024-10-25 19:03 21K 
[   ]varnish-modules-0.24.0-r0.apk2024-10-25 19:03 39K 
[   ]vals-0.41.2-r0.apk2025-06-19 06:57 30M 
[   ]uxn-doc-1.0-r0.apk2024-10-25 19:03 4.2K 
[   ]uxn-1.0-r0.apk2024-10-25 19:03 40K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 19:03 118K 
[   ]uucp-1.07-r6.apk2024-10-25 19:03 487K 
[   ]utop-full-2.9.1-r4.apk2024-10-25 19:03 13M 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 19:03 13K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 19:03 5.7K 
[   ]utop-common-2.9.1-r4.apk2024-10-25 19:03 2.0K 
[   ]utop-2.9.1-r4.apk2024-10-25 19:03 13M 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 19:03 165K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 19:03 97K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 19:03 91K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 19:03 78K 
[   ]ustr-1.0.4-r1.apk2024-10-25 19:03 60K 
[   ]usql-0.15.6-r13.apk2025-05-14 00:10 31M 
[   ]usbtop-1.0-r0.apk2025-04-13 21:32 13K 
[   ]usbmuxd-udev-1.1.1-r9.apk2025-04-18 14:39 2.0K 
[   ]usbmuxd-doc-1.1.1-r9.apk2025-04-18 14:39 3.0K 
[   ]usbmuxd-1.1.1-r9.apk2025-04-18 14:39 33K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 19:03 101K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 19:03 33K 
[   ]urlwatch-2.28-r2.apk2024-10-25 19:03 49K 
[   ]uranium-5.2.2-r3.apk2024-10-25 19:03 596K 
[   ]upterm-zsh-completion-0.14.3-r5.apk2025-05-14 00:10 4.0K 
[   ]upterm-server-openrc-0.14.3-r5.apk2025-05-14 00:10 1.9K 
[   ]upterm-server-0.14.3-r5.apk2025-05-14 00:10 6.1M 
[   ]upterm-doc-0.14.3-r5.apk2025-05-14 00:10 6.4K 
[   ]upterm-bash-completion-0.14.3-r5.apk2025-05-14 00:10 5.5K 
[   ]upterm-0.14.3-r5.apk2025-05-14 00:10 6.2M 
[   ]up-0.4-r29.apk2025-05-14 00:10 1.2M 
[   ]unit-php81-1.34.2-r1.apk2025-04-24 00:44 30K 
[   ]unicorn-dev-2.0.1-r4.apk2024-10-25 19:03 8.1M 
[   ]unicorn-2.0.1-r4.apk2024-10-25 19:03 6.8M 
[   ]undock-0.10.0-r0.apk2025-05-16 14:35 10M 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 15:08 64K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 15:08 64K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 19:03 1.8K 
[   ]udpt-3.1.2-r0.apk2024-10-25 19:03 695K 
[   ]uclient-fetch-20241022-r0.apk2025-05-30 05:47 9.7K 
[   ]uclient-dev-20241022-r0.apk2025-05-30 05:47 3.5K 
[   ]uclient-20241022-r0.apk2025-05-30 05:47 18K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 19:03 21K 
[   ]ubase-20200605-r3.apk2024-10-25 19:03 43K 
[   ]uasm-2.56.2-r0.apk2024-10-25 19:03 271K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 19:03 95K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 14:14 505K 
[   ]typobuster-1.0.0-r0.apk2025-04-23 22:26 129K 
[   ]typlite-0.13.12-r0.apk2025-05-03 10:05 13M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 21:55 3.5K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 21:55 2.4M 
[   ]twiggy-0.6.0-r3.apk2024-10-25 19:03 796K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 19:03 17K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 19:03 66K 
[   ]turntable-lang-0.3.3-r0.apk2025-05-27 13:01 12K 
[   ]turntable-0.3.3-r0.apk2025-05-27 13:01 181K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 19:03 1.8K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 19:03 5.7K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 19:03 37K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-12 13:59 2.0K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-12 13:59 11K 
[   ]turn-rs-3.4.0-r1.apk2025-06-12 13:59 568K 
[   ]tuptime-openrc-5.2.4-r1.apk2024-11-29 01:09 1.7K 
[   ]tuptime-doc-5.2.4-r1.apk2024-11-29 01:09 3.7K 
[   ]tuptime-5.2.4-r1.apk2024-11-29 01:09 14K 
[   ]tup-vim-0.7.11-r1.apk2025-06-19 08:45 2.5K 
[   ]tup-doc-0.7.11-r1.apk2025-06-19 08:45 21K 
[   ]tup-0.7.11-r1.apk2025-06-19 08:45 230K 
[   ]tuned-utils-2.25.1-r2.apk2025-05-28 10:42 11K 
[   ]tuned-pyc-2.25.1-r2.apk2025-05-28 10:42 333K 
[   ]tuned-profiles-compat-2.25.1-r2.apk2025-05-28 10:42 3.6K 
[   ]tuned-profiles-2.25.1-r2.apk2025-05-28 10:42 8.2K 
[   ]tuned-ppd-openrc-2.25.1-r2.apk2025-05-28 10:42 1.8K 
[   ]tuned-ppd-2.25.1-r2.apk2025-05-28 10:42 3.7K 
[   ]tuned-openrc-2.25.1-r2.apk2025-05-28 10:42 1.7K 
[   ]tuned-gtk-2.25.1-r2.apk2025-05-28 10:42 22K 
[   ]tuned-doc-2.25.1-r2.apk2025-05-28 10:42 79K 
[   ]tuned-bash-completion-2.25.1-r2.apk2025-05-28 10:42 1.9K 
[   ]tuned-2.25.1-r2.apk2025-05-28 10:42 159K 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-25 19:03 6.9K 
[   ]tui-journal-0.10.0-r0.apk2024-10-25 19:03 1.9M 
[   ]ttyper-1.6.0-r0.apk2025-02-05 21:45 596K 
[   ]tty-share-2.4.0-r18.apk2025-05-14 00:10 3.9M 
[   ]tty-proxy-0.0.2-r28.apk2025-05-14 00:10 2.7M 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 19:03 3.1K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 19:03 8.3K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 19:03 102K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 19:03 57K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 19:03 8.1K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 19:03 153K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 19:03 27K 
[   ]tsung-1.8.0-r3.apk2025-06-13 05:39 722K 
[   ]trivy-0.63.0-r0.apk2025-06-03 14:31 67M 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-18 23:20 4.8K 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-18 23:20 3.2K 
[   ]trippy-0.13.0-r0.apk2025-05-18 23:20 2.0M 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-25 15:13 28K 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-25 15:13 352M 
[   ]trigger-rally-0.6.7-r3.apk2025-03-25 15:13 302K 
[   ]tremc-zsh-completion-0.9.3-r1.apk2025-05-14 18:16 1.8K 
[   ]tremc-doc-0.9.3-r1.apk2025-05-14 18:16 2.8K 
[   ]tremc-bash-completion-0.9.3-r1.apk2025-05-14 18:16 1.9K 
[   ]tremc-0.9.3-r1.apk2025-05-14 18:16 48K 
[   ]treecat-doc-1.0.2_git20240706-r1.apk2024-11-29 13:19 3.3K 
[   ]treecat-1.0.2_git20240706-r1.apk2024-11-29 13:19 112K 
[   ]tree-sitter-yaml-doc-0.7.1-r0.apk2025-05-23 05:04 2.3K 
[   ]tree-sitter-yaml-0.7.1-r0.apk2025-05-23 05:04 37K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 19:03 2.3K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 19:03 82K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 19:03 41K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 19:03 14K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-19 00:46 22K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 16:06 284K 
[   ]tree-sitter-hare-0_git20230616-r1.apk2024-10-25 19:03 33K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-10-25 19:03 42K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-11 11:19 5.1K 
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2024-10-25 19:03 9.8K 
[   ]tree-sitter-git-commit-0_git20211225-r3.apk2025-02-26 17:29 13K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-11 11:19 99K 
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-10-25 19:03 23K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 19:03 2.3K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 19:03 70K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 19:03 28K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 19:03 5.2K 
[   ]tre-0.8.0-r2.apk2024-10-25 19:03 27K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 19:03 2.6K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 19:03 34K 
[   ]trantor-1.5.18-r0.apk2024-10-25 19:03 229K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 19:03 106K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 19:03 4.2K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 19:03 145K 
[   ]transito-doc-0.9.1-r6.apk2025-05-14 00:10 755K 
[   ]transito-0.9.1-r6.apk2025-05-14 00:10 8.5M 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-19 14:15 171K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-19 14:15 508K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-19 14:15 3.3K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-19 14:15 164K 
[   ]tqm-1.13.0-r0.apk2025-06-10 03:30 4.7M 
[   ]tpp-bypass-0.8.4-r0.apk2024-10-25 19:03 13K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 19:03 69K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 19:03 1.9K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 19:03 130K 
[   ]toybox-0.8.11-r1.apk2024-10-25 19:03 267K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 09:33 13K 
[   ]toss-1.1-r1.apk2025-05-29 12:00 11K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 19:03 364K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 19:03 73K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 19:03 4.0K 
[   ]topgit-0.19.13-r1.apk2024-10-25 19:03 127K 
[   ]tonutils-reverse-proxy-doc-0.4.4-r0.apk2025-06-12 22:33 3.2K 
[   ]tonutils-reverse-proxy-0.4.4-r0.apk2025-06-12 22:33 4.5M 
[   ]toml2json-doc-1.3.1-r0.apk2024-10-25 19:03 3.3K 
[   ]toml2json-1.3.1-r0.apk2024-10-25 19:03 358K 
[   ]tomcat9-openrc-9.0.105-r0.apk2025-06-08 16:03 4.6K 
[   ]tomcat9-examples-9.0.105-r0.apk2025-06-08 16:03 439K 
[   ]tomcat9-doc-9.0.105-r0.apk2025-06-08 16:03 1.7M 
[   ]tomcat9-admin-9.0.105-r0.apk2025-06-08 16:03 119K 
[   ]tomcat9-9.0.105-r0.apk2025-06-08 16:03 6.7M 
[   ]tofutf-server-openrc-0.10.0-r5.apk2025-05-14 00:10 2.0K 
[   ]tofutf-server-0.10.0-r5.apk2025-05-14 00:10 14M 
[   ]tofutf-cli-0.10.0-r5.apk2025-05-14 00:10 8.7M 
[   ]tofutf-agent-openrc-0.10.0-r5.apk2025-05-14 00:10 2.0K 
[   ]tofutf-agent-0.10.0-r5.apk2025-05-14 00:10 9.5M 
[   ]tofutf-0.10.0-r5.apk2025-05-14 00:10 1.3K 
[   ]today-doc-6.2.1-r0.apk2025-06-17 15:29 3.3K 
[   ]today-6.2.1-r0.apk2025-06-17 15:29 3.2K 
[   ]toapk-1.0-r0.apk2024-10-25 19:03 11K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 19:03 4.2K 
[   ]tnef-1.4.18-r0.apk2024-10-25 19:03 25K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 19:03 3.9K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 19:03 22K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 19:03 8.4K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 19:03 14K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 19:03 3.2K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 19:03 7.0K 
[   ]tmpl-doc-0.4.0-r11.apk2025-05-14 00:10 2.3K 
[   ]tmpl-0.4.0-r11.apk2025-05-14 00:10 2.7M 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 19:03 72K 
[   ]tmate-2.4.0-r4.apk2024-10-25 19:03 270K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 17:09 14K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 17:09 3.5K 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 17:09 12K 
[   ]tk9-doc-9.0.1-r0.apk2024-12-22 06:15 1.3M 
[   ]tk9-dev-9.0.1-r0.apk2024-12-22 06:15 81K 
[   ]tk9-9.0.1-r0.apk2024-12-22 06:15 828K 
[   ]tiptop-doc-2.3.1-r2.apk2024-10-25 19:03 7.1K 
[   ]tiptop-2.3.1-r2.apk2024-10-25 19:03 34K 
[   ]tinyscheme-1.42-r1.apk2024-10-25 19:03 58K 
[   ]tinymist-0.13.12-r0.apk2025-05-03 10:05 17M 
[   ]tinygltf-dev-2.9.6-r0.apk2025-06-08 16:33 57K 
[   ]tinygltf-2.9.6-r0.apk2025-06-08 16:33 145K 
[   ]tinyemu-2019.12.21-r0.apk2025-05-25 23:35 158K 
[   ]tintin-2.02.31-r0.apk2024-10-25 19:03 1.8M 
[   ]timoni-zsh-completion-0.23.0-r5.apk2025-05-14 00:10 4.0K 
[   ]timoni-fish-completion-0.23.0-r5.apk2025-05-14 00:10 4.3K 
[   ]timoni-doc-0.23.0-r5.apk2025-05-14 00:10 338K 
[   ]timoni-bash-completion-0.23.0-r5.apk2025-05-14 00:10 8.0K 
[   ]timoni-0.23.0-r5.apk2025-05-14 00:10 24M 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 19:03 22K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 19:03 262K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 19:03 53K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 19:03 2.8K 
[   ]timew-1.4.3-r1.apk2024-10-25 19:03 252K 
[   ]timeshift-lang-24.06.6-r0.apk2025-04-23 22:26 917K 
[   ]timeshift-doc-24.06.6-r0.apk2025-04-23 22:26 3.2K 
[   ]timeshift-24.06.6-r0.apk2025-04-23 22:26 472K 
[   ]ticker-zsh-completion-4.8.1-r2.apk2025-05-14 00:10 3.7K 
[   ]ticker-fish-completion-4.8.1-r2.apk2025-05-14 00:10 3.9K 
[   ]ticker-bash-completion-4.8.1-r2.apk2025-05-14 00:10 4.6K 
[   ]ticker-4.8.1-r2.apk2025-05-14 00:10 4.2M 
[   ]tick-doc-1.2.2-r0.apk2025-01-14 01:26 5.5K 
[   ]tick-1.2.2-r0.apk2025-01-14 01:26 10K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 19:03 25K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 19:03 9.3K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-25 19:03 23K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 19:03 11K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 19:29 28K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 19:29 1.6K 
[   ]throttled-0.10.0-r1.apk2024-12-15 19:29 15K 
[   ]thermald-openrc-2.5.9-r0.apk2025-04-25 10:49 1.8K 
[   ]thermald-doc-2.5.9-r0.apk2025-04-25 10:49 8.7K 
[   ]thermald-2.5.9-r0.apk2025-04-25 10:49 171K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 19:03 2.3K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 19:03 39K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 19:03 2.0K 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 19:03 2.3K 
[   ]thelounge-4.4.3-r0.apk2024-10-25 19:03 28M 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 19:03 156K 
[   ]thefuck-3.32-r5.apk2024-10-25 19:03 83K 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 19:03 6.3M 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 19:03 6.9M 
[   ]thanos-openrc-0.31.0-r13.apk2025-05-14 00:10 2.0K 
[   ]thanos-0.31.0-r13.apk2025-05-14 00:10 22M 
[   ]tfupdate-doc-0.8.2-r6.apk2025-05-14 00:10 2.3K 
[   ]tfupdate-0.8.2-r6.apk2025-05-14 00:10 5.0M 
[   ]texstudio-4.8.7-r1.apk2025-05-29 12:00 82M 
[   ]texmaker-6.0.0-r0.apk2024-10-25 19:03 12M 
[   ]texlab-5.19.0-r0.apk2024-10-25 19:03 8.6M 
[   ]tetragon-client-zsh-completion-1.1.2-r5.apk2025-05-14 00:10 4.1K 
[   ]tetragon-client-fish-completion-1.1.2-r5.apk2025-05-14 00:10 4.3K 
[   ]tetragon-client-bash-completion-1.1.2-r5.apk2025-05-14 00:10 5.1K 
[   ]tetragon-client-1.1.2-r5.apk2025-05-14 00:10 21M 
[   ]termusic-mpv-0.7.11-r0.apk2024-10-25 19:03 5.9M 
[   ]terminology-lang-1.14.0-r0.apk2025-05-27 22:49 143K 
[   ]terminology-doc-1.14.0-r0.apk2025-05-27 22:49 9.0K 
[   ]terminology-1.14.0-r0.apk2025-05-27 22:49 2.7M 
[   ]terminalpp-ropen-0.8.4-r0.apk2024-10-25 19:03 56K 
[   ]terminalpp-0.8.4-r0.apk2024-10-25 19:03 411K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 19:03 6.8K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 19:03 1.5K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 19:03 12K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 19:03 5.7K 
[   ]termbox-1.1.2-r1.apk2024-10-25 19:03 11K 
[   ]tere-doc-1.6.0-r0.apk2024-10-25 19:03 14K 
[   ]tere-1.6.0-r0.apk2024-10-25 19:03 1.0M 
[   ]tenv-zsh-completion-4.7.6-r0.apk2025-06-11 14:23 4.0K 
[   ]tenv-fish-completion-4.7.6-r0.apk2025-06-11 14:23 4.3K 
[   ]tenv-bash-completion-4.7.6-r0.apk2025-06-11 14:23 6.1K 
[   ]tenv-4.7.6-r0.apk2025-06-11 14:23 9.8M 
[   ]tempo-vulture-openrc-2.8.1-r0.apk2025-06-24 08:16 2.0K 
[   ]tempo-vulture-2.8.1-r0.apk2025-06-24 08:16 14M 
[   ]tempo-query-2.8.1-r0.apk2025-06-24 08:16 6.9M 
[   ]tempo-openrc-2.8.1-r0.apk2025-06-24 08:16 1.9K 
[   ]tempo-cli-2.8.1-r0.apk2025-06-24 08:16 22M 
[   ]tempo-2.8.1-r0.apk2025-06-24 08:16 31M 
[   ]templ-0.3.850-r2.apk2025-05-14 00:10 4.9M 
[   ]telegram-tdlib-static-1.8.47-r0.apk2025-04-14 01:40 20M 
[   ]telegram-tdlib-dev-1.8.47-r0.apk2025-04-14 01:40 182K 
[   ]telegram-tdlib-1.8.47-r0.apk2025-04-14 01:40 7.0M 
[   ]telegram-bot-api-9.0-r0.apk2025-04-14 01:40 7.0M 
[   ]teapot-tools-0.4.2-r2.apk2024-10-25 19:03 2.0M 
[   ]tealdeer-zsh-completion-1.7.2-r0.apk2025-03-24 04:33 2.3K 
[   ]tealdeer-fish-completion-1.7.2-r0.apk2025-03-24 04:33 2.2K 
[   ]tealdeer-bash-completion-1.7.2-r0.apk2025-03-24 04:33 2.0K 
[   ]tealdeer-1.7.2-r0.apk2025-03-24 04:33 877K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 19:03 8.9K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 19:03 12K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-25 19:03 12K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-25 19:03 2.5K 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-25 19:03 82K 
[   ]tcmalloc-profiler-2.16-r1.apk2025-04-06 18:36 115K 
[   ]tcmalloc-minimal-debug-2.16-r1.apk2025-04-06 18:36 66K 
[   ]tcmalloc-minimal-2.16-r1.apk2025-04-06 18:36 59K 
[   ]tcmalloc-debug-2.16-r1.apk2025-04-06 18:36 114K 
[   ]tcmalloc-2.16-r1.apk2025-04-06 18:36 107K 
[   ]tcl9-doc-9.0.1-r0.apk2024-12-22 06:15 1.4M 
[   ]tcl9-dev-9.0.1-r0.apk2024-12-22 06:15 183K 
[   ]tcl9-9.0.1-r0.apk2024-12-22 06:15 1.8M 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 19:03 38K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 19:03 32K 
[   ]tayga-doc-0.9.5-r0.apk2025-06-20 07:58 6.1K 
[   ]tayga-0.9.5-r0.apk2025-06-20 07:58 27K 
[   ]tauri-cli-2.4.0-r0.apk2025-04-03 00:12 7.3M 
[   ]taskwarrior-tui-fish-completion-0.26.3-r0.apk2025-03-26 10:24 1.7K 
[   ]taskwarrior-tui-doc-0.26.3-r0.apk2025-03-26 10:24 3.9K 
[   ]taskwarrior-tui-bash-completion-0.26.3-r0.apk2025-03-26 10:24 2.0K 
[   ]taskwarrior-tui-0.26.3-r0.apk2025-03-26 10:24 1.2M 
[   ]taskcafe-openrc-0.3.6-r13.apk2025-05-14 00:10 1.8K 
[   ]taskcafe-0.3.6-r13.apk2025-05-14 00:10 14M 
[   ]tartube-pyc-2.5.0-r1.apk2024-10-25 19:03 1.1M 
[   ]tartube-2.5.0-r1.apk2024-10-25 19:03 2.7M 
[   ]tanka-0.32.0-r0.apk2025-05-16 08:59 4.7M 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-21 16:21 11K 
[   ]tanidvr-1.4.1-r2.apk2025-03-21 16:21 21K 
[   ]tangctl-0_git20241007-r4.apk2025-05-14 00:10 2.7M 
[   ]tangara-companion-0.4.3-r0.apk2024-12-17 20:29 1.0M 
[   ]tang-openrc-15-r0.apk2025-01-20 04:17 1.9K 
[   ]tang-doc-15-r0.apk2025-01-20 04:17 21K 
[   ]tang-dbg-15-r0.apk2025-01-20 04:17 32K 
[   ]tang-15-r0.apk2025-01-20 04:17 15K 
[   ]tailspin-zsh-completion-5.4.2-r0.apk2025-05-14 09:52 2.5K 
[   ]tailspin-fish-completion-5.4.2-r0.apk2025-05-14 09:52 2.1K 
[   ]tailspin-doc-5.4.2-r0.apk2025-05-14 09:52 3.0K 
[   ]tailspin-bash-completion-5.4.2-r0.apk2025-05-14 09:52 2.2K 
[   ]tailspin-5.4.2-r0.apk2025-05-14 09:52 1.1M 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 19:03 1.9M 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 19:03 101K 
[   ]tabiew-0.7.1-r0.apk2024-11-25 21:49 7.4M 
[   ]tabby-doc-3.1-r1.apk2024-10-25 19:03 2.3K 
[   ]tabby-3.1-r1.apk2024-10-25 19:03 29K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 19:03 8.8K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 19:03 221K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 19:03 2.2K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 19:03 440K 
[   ]synapse-bt-openrc-1.0-r4.apk2024-10-25 19:03 1.8K 
[   ]synapse-bt-cli-1.0-r4.apk2024-10-25 19:03 1.0M 
[   ]synapse-bt-1.0-r4.apk2024-10-25 19:03 1.1M 
[   ]sympow-doc-2.023.7-r2.apk2024-10-25 19:03 3.1K 
[   ]sympow-2.023.7-r2.apk2024-10-25 19:03 1.8M 
[   ]symlinks-doc-1.4.3-r0.apk2025-04-21 21:27 3.8K 
[   ]symlinks-1.4.3-r0.apk2025-04-21 21:27 5.8K 
[   ]symengine-0.12.0-r0.apk2024-10-25 19:03 2.9M 
[   ]symbiyosys-0.36-r0.apk2024-10-25 19:03 38K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 19:03 7.9K 
[   ]sydbox-vim-3.21.3-r0.apk2024-10-25 19:03 5.2K 
[   ]sydbox-utils-3.21.3-r0.apk2024-10-25 19:03 6.2M 
[   ]sydbox-oci-3.21.3-r0.apk2024-10-25 19:03 1.8M 
[   ]sydbox-doc-3.21.3-r0.apk2024-10-25 19:03 84K 
[   ]sydbox-3.21.3-r0.apk2024-10-25 19:03 1.4M 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 19:03 2.6K 
[   ]sxcs-1.1.0-r0.apk2024-10-25 19:03 8.1K 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 10:09 1.0M 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 10:09 922K 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 10:09 22K 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 10:09 2.1M 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 10:09 4.7M 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-25 19:03 6.2K 
[   ]swhkd-1.2.1-r0.apk2024-10-25 19:03 1.1M 
[   ]swayhide-0.2.1-r2.apk2024-10-25 19:03 255K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 19:03 9.8K 
[   ]swappy-lang-1.5.1-r0.apk2024-10-25 19:03 3.6K 
[   ]swappy-doc-1.5.1-r0.apk2024-10-25 19:03 3.7K 
[   ]swappy-1.5.1-r0.apk2024-10-25 19:03 30K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 19:03 50K 
[   ]swaks-20240103.0-r0.apk2024-10-25 19:03 66K 
[   ]svt-vp9-libs-0.3.0-r2.apk2024-10-25 19:03 515K 
[   ]svt-vp9-doc-0.3.0-r2.apk2024-10-25 19:03 4.8K 
[   ]svt-vp9-dev-0.3.0-r2.apk2024-10-25 19:03 7.4K 
[   ]svt-vp9-0.3.0-r2.apk2024-10-25 19:03 17K 
[   ]svt-hevc-libs-1.5.1-r2.apk2024-10-25 19:03 733K 
[   ]svt-hevc-doc-1.5.1-r2.apk2024-10-25 19:03 5.0K 
[   ]svt-hevc-dev-1.5.1-r2.apk2024-10-25 19:03 10K 
[   ]svt-hevc-1.5.1-r2.apk2024-10-25 19:03 31K 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 19:03 2.2K 
[   ]svls-0.2.12-r0.apk2024-10-25 19:03 3.4M 
[   ]svgbob-0.7.6-r0.apk2025-02-02 00:32 452K 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-10 03:12 2.9M 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 19:03 18K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 19:03 79K 
[   ]surf-doc-2.1-r3.apk2024-10-25 19:03 4.6K 
[   ]surf-2.1-r3.apk2024-10-25 19:03 21K 
[   ]supersonik-0.1.0-r2.apk2025-04-13 21:32 1.0M 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 19:03 9.4K 
[   ]supermin-5.2.2-r2.apk2024-10-25 19:03 507K 
[   ]supercollider-dev-3.13.0-r6.apk2025-02-08 23:48 39K 
[   ]supercollider-3.13.0-r6.apk2025-02-08 23:48 7.7M 
[   ]sudo-ldap-1.9.14-r1.apk2024-10-25 19:03 711K 
[   ]subtitleeditor-doc-0.54.0-r3.apk2024-10-25 19:03 2.9K 
[   ]subtitleeditor-dev-0.54.0-r3.apk2024-10-25 19:03 1.5K 
[   ]subtitleeditor-0.54.0-r3.apk2024-10-25 19:03 1.4M 
[   ]subliminal-pyc-2.2.1-r1.apk2025-05-14 18:16 135K 
[   ]subliminal-2.2.1-r1.apk2025-05-14 18:16 68K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-25 19:03 302K 
[   ]sublime-music-0.12.0-r1.apk2024-10-25 19:03 190K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-10-25 19:03 14K 
[   ]subdl-0_git20230616-r1.apk2024-10-25 19:03 8.7K 
[   ]stw-doc-0.3-r0.apk2024-10-25 19:03 2.5K 
[   ]stw-0.3-r0.apk2024-10-25 19:03 8.1K 
[   ]sturmreader-lang-3.7.2-r1.apk2025-01-29 20:02 39K 
[   ]sturmreader-3.7.2-r1.apk2025-01-29 20:02 1.0M 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 19:03 32M 
[   ]stockfish-17-r0.apk2025-03-03 22:40 62M 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 19:03 2.0K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 19:03 18K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 19:03 57K 
[   ]stgit-zsh-completion-2.4.7-r1.apk2024-10-25 19:03 24K 
[   ]stgit-vim-2.4.7-r1.apk2024-10-25 19:03 3.5K 
[   ]stgit-fish-completion-2.4.7-r1.apk2024-10-25 19:03 12K 
[   ]stgit-emacs-2.4.7-r1.apk2024-10-25 19:03 28K 
[   ]stgit-doc-2.4.7-r1.apk2024-10-25 19:03 126K 
[   ]stgit-bash-completion-2.4.7-r1.apk2024-10-25 19:03 17K 
[   ]stgit-2.4.7-r1.apk2024-10-25 19:03 1.9M 
[   ]stern-zsh-completion-1.32.0-r4.apk2025-05-14 00:10 4.0K 
[   ]stern-fish-completion-1.32.0-r4.apk2025-05-14 00:10 4.3K 
[   ]stern-bash-completion-1.32.0-r4.apk2025-05-14 00:10 5.8K 
[   ]stern-1.32.0-r4.apk2025-05-14 00:10 18M 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 19:03 14K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 19:03 146K 
[   ]steamguard-cli-zsh-completion-0.9.6-r1.apk2025-05-14 18:16 3.5K 
[   ]steamguard-cli-bash-completion-0.9.6-r1.apk2025-05-14 18:16 2.5K 
[   ]steamguard-cli-0.9.6-r1.apk2025-05-14 18:16 2.3M 
[   ]stayrtr-openrc-0.6.2-r4.apk2025-05-14 00:10 2.0K 
[   ]stayrtr-0.6.2-r4.apk2025-05-14 00:10 11M 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 19:03 13K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 19:03 17K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 19:03 5.4K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 19:03 48K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 19:03 5.8K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 19:03 32K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 19:03 32K 
[   ]startup-2.0.3-r5.apk2024-10-25 19:03 442K 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 19:03 22K 
[   ]starfighter-2.4-r0.apk2024-10-25 19:03 48M 
[   ]stardict-lang-3.0.6-r6.apk2024-10-25 19:03 290K 
[   ]stardict-help-3.0.6-r6.apk2024-10-25 19:03 3.4M 
[   ]stardict-doc-3.0.6-r6.apk2024-10-25 19:03 2.2K 
[   ]stardict-3.0.6-r6.apk2024-10-25 19:03 950K 
[   ]stalwart-mail-openrc-0.12.5-r0.apk2025-06-25 20:35 2.1K 
[   ]stalwart-mail-0.12.5-r0.apk2025-06-25 20:35 17M 
[   ]stalwart-cli-0.12.5-r0.apk2025-06-25 20:34 2.6M 
[   ]stacker-doc-1.0.0-r5.apk2025-05-14 00:10 15K 
[   ]stacker-1.0.0-r5.apk2025-05-14 00:10 30M 
[   ]sstp-client-doc-1.0.20-r2.apk2025-05-30 10:23 4.9K 
[   ]sstp-client-dev-1.0.20-r2.apk2025-05-30 10:23 5.2K 
[   ]sstp-client-1.0.20-r2.apk2025-05-30 10:23 38K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 19:03 3.3K 
[   ]ssss-0.5.7-r0.apk2024-10-25 19:03 12K 
[   ]sssd-openrc-2.11.0-r0.apk2025-06-06 08:57 1.7K 
[   ]sssd-dev-2.11.0-r0.apk2025-06-06 08:57 15K 
[   ]sssd-2.11.0-r0.apk2025-06-06 08:57 2.2M 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 19:03 101K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 19:03 8.5K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 19:03 62K 
[   ]sshsrv-1.0-r12.apk2025-05-14 00:10 1.0M 
[   ]sshs-4.7.2-r0.apk2025-04-23 22:26 719K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 19:03 26K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 19:03 2.1K 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 19:03 8.9K 
[   ]ssh-cert-authority-2.0.0-r26.apk2025-05-14 00:10 5.1M 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 19:03 18K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 19:03 94K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 19:03 30K 
[   ]sregex-0.0.1-r1.apk2024-10-25 19:03 25K 
[   ]srb2-data-2.2.15-r1.apk2025-04-10 21:03 160M 
[   ]srb2-2.2.15-r1.apk2025-04-10 21:03 1.8M 
[   ]srain-lang-1.8.0-r1.apk2025-06-13 14:12 35K 
[   ]srain-1.8.0-r1.apk2025-06-13 14:12 161K 
[   ]squeak-vm-doc-4.10.2.2614-r1.apk2024-10-25 19:03 12K 
[   ]squeak-vm-4.10.2.2614-r1.apk2024-10-25 19:03 471K 
[   ]sqruff-doc-0.25.26-r0.apk2025-04-13 21:40 8.6K 
[   ]sqruff-0.25.26-r0.apk2025-04-13 21:40 2.2M 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 19:03 20K 
[   ]sqlmap-pyc-1.9.4-r0.apk2025-04-13 23:26 1.2M 
[   ]sqlmap-1.9.4-r0.apk2025-04-13 23:26 6.8M 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 19:03 87K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 19:03 3.3K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 19:03 13K 
[   ]sq-zsh-completion-0.48.5-r5.apk2025-05-14 00:10 4.0K 
[   ]sq-fish-completion-0.48.5-r5.apk2025-05-14 00:10 4.3K 
[   ]sq-doc-0.48.5-r5.apk2025-05-14 00:10 5.9K 
[   ]sq-bash-completion-0.48.5-r5.apk2025-05-14 00:10 5.0K 
[   ]sq-0.48.5-r5.apk2025-05-14 00:10 11M 
[   ]spvm-thread-doc-0.003-r0.apk2025-02-04 07:32 5.8K 
[   ]spvm-thread-0.003-r0.apk2025-02-04 07:32 11K 
[   ]spvm-mime-base64-doc-1.003-r0.apk2025-02-04 07:32 5.3K 
[   ]spvm-mime-base64-1.003-r0.apk2025-02-04 07:32 15K 
[   ]spvm-math-doc-1.006-r0.apk2025-02-04 07:32 6.8K 
[   ]spvm-math-1.006-r0.apk2025-02-04 07:32 22K 
[   ]spvm-errno-doc-0.093-r0.apk2025-01-23 08:22 5.9K 
[   ]spvm-errno-0.093-r0.apk2025-01-23 08:22 17K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-10 03:12 36K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 19:03 4.6K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 19:03 363K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 19:03 193K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 19:03 44K 
[   ]spotify-tui-0.25.0-r2.apk2024-10-25 19:03 1.8M 
[   ]spotify-player-0.20.4-r0.apk2025-03-02 15:55 4.4M 
[   ]sponskrub-3.7.2-r9.apk2025-06-07 09:13 184K 
[   ]spnavcfg-1.1-r0.apk2024-10-25 19:03 38K 
[   ]splitter-doc-0.4.1-r0.apk2025-06-24 10:28 3.8K 
[   ]splitter-0.4.1-r0.apk2025-06-24 10:28 456K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 05:09 48K 
[   ]spiped-1.6.2-r1.apk2024-10-25 19:03 78K 
[   ]spin-doc-6.5.2-r1.apk2024-10-25 19:03 5.9K 
[   ]spin-6.5.2-r1.apk2024-10-25 19:03 362K 
[   ]spike-1.1.0-r0.apk2024-10-25 19:03 1.5M 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 19:03 438K 
[   ]speedtest_exporter-openrc-0.3.2-r15.apk2025-05-14 00:10 1.9K 
[   ]speedtest_exporter-0.3.2-r15.apk2025-05-14 00:10 4.0M 
[   ]speedtest-go-openrc-1.1.5-r15.apk2025-05-14 00:10 1.7K 
[   ]speedtest-go-doc-1.1.5-r15.apk2025-05-14 00:10 4.5K 
[   ]speedtest-go-1.1.5-r15.apk2025-05-14 00:10 5.6M 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 19:03 13K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 19:03 18K 
[   ]speedtest-5.2.5-r1.apk2024-10-25 19:03 253K 
[   ]speedcrunch-0.12-r3.apk2024-10-25 19:03 1.1M 
[   ]spark-2.8.3-r1.apk2024-10-25 19:03 29M 
[   ]spampd-openrc-2.62-r0.apk2025-02-25 22:31 2.0K 
[   ]spampd-2.62-r0.apk2025-02-25 22:31 39K 
[   ]spacer-doc-0.3.9-r0.apk2025-05-26 13:06 3.1K 
[   ]spacer-0.3.9-r0.apk2025-05-26 13:06 940K 
[   ]spacenavd-1.2-r0.apk2024-10-25 19:03 32K 
[   ]spacectl-zsh-completion-1.12.0-r1.apk2025-05-14 00:10 1.8K 
[   ]spacectl-fish-completion-1.12.0-r1.apk2025-05-14 00:10 7.1K 
[   ]spacectl-doc-1.12.0-r1.apk2025-05-14 00:10 2.3K 
[   ]spacectl-bash-completion-1.12.0-r1.apk2025-05-14 00:10 2.1K 
[   ]spacectl-1.12.0-r1.apk2025-05-14 00:10 5.9M 
[   ]sourcegit-8.33-r0.apk2024-10-25 19:03 26M 
[   ]soundconverter-pyc-4.1.0-r0.apk2025-06-24 16:41 77K 
[   ]soundconverter-lang-4.1.0-r0.apk2025-06-24 16:41 107K 
[   ]soundconverter-doc-4.1.0-r0.apk2025-06-24 16:41 4.7K 
[   ]soundconverter-4.1.0-r0.apk2025-06-24 16:41 165K 
[   ]sos-0.8-r31.apk2025-05-14 00:10 2.8M 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 19:03 15K 
[   ]sopwith-2.5.0-r0.apk2024-10-25 19:03 47K 
[   ]sonicradio-0.6.15-r1.apk2025-05-14 00:10 3.2M 
[   ]sonarr-openrc-4.0.15.2941-r0.apk2025-06-21 14:16 2.0K 
[   ]sonarr-4.0.15.2941-r0.apk2025-06-21 14:16 28M 
[   ]sonar-scanner-7.1.0.4889-r0.apk2025-05-04 23:13 11M 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 19:03 2.4K 
[   ]somebar-1.0.3-r0.apk2024-10-25 19:03 43K 
[   ]solarus-quest-editor-1.7.0-r1.apk2025-02-22 14:39 55M 
[   ]solarus-engine-doc-1.7.0-r1.apk2025-02-22 14:39 3.3K 
[   ]solarus-engine-1.7.0-r1.apk2025-02-22 14:39 2.1M 
[   ]solanum-lang-6.0.0-r0.apk2025-04-10 13:52 47K 
[   ]solanum-6.0.0-r0.apk2025-04-10 13:52 249K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 19:03 1.7K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 19:03 2.4K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 19:03 195K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 19:03 28K 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 10:53 47K 
[   ]so-0.4.10-r0.apk2024-10-25 19:03 2.0M 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 19:03 3.1K 
[   ]snore-0.3.1-r0.apk2024-10-25 19:03 4.4K 
[   ]snippets-ls-0.0.4_git20240617-r5.apk2025-05-14 00:10 1.4M 
[   ]snikket-sdk-0_git20250612-r0.apk2025-06-13 15:34 2.9M 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 19:03 361K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 19:03 39K 
[   ]snapweb-0.7.0-r0.apk2024-10-25 19:03 385K 
[   ]snapraid-doc-12.4-r0.apk2025-05-10 03:12 17K 
[   ]snapraid-12.4-r0.apk2025-05-10 03:12 266K 
[   ]snapper-zsh-completion-0.12.2-r0.apk2025-05-06 12:29 3.6K 
[   ]snapper-lang-0.12.2-r0.apk2025-05-06 12:29 212K 
[   ]snapper-doc-0.12.2-r0.apk2025-05-06 12:29 25K 
[   ]snapper-dev-0.12.2-r0.apk2025-05-06 12:29 10K 
[   ]snapper-bash-completion-0.12.2-r0.apk2025-05-06 12:29 3.1K 
[   ]snapper-0.12.2-r0.apk2025-05-06 12:29 953K 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 12:39 25K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 12:39 147K 
[   ]smile-lang-2.10.1-r0.apk2025-04-09 02:05 25K 
[   ]smile-2.10.1-r0.apk2025-04-09 02:05 721K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 02:57 70K 
[   ]smassh-3.1.6-r0.apk2024-11-21 02:57 72K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 19:03 2.3K 
[   ]slurm-0.4.4-r0.apk2024-10-25 19:03 14K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 19:03 59K 
[   ]sloccount-2.26-r3.apk2024-10-25 19:03 62K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 19:03 285K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 19:03 2.3K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-10-25 19:03 38K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-10-25 19:03 1.5K 
[   ]slidge-matridge-0.1.0-r0.apk2024-10-25 19:03 30K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 19:03 4.5K 
[   ]slidge-0.1.3-r0.apk2024-10-25 19:03 145K 
[   ]sish-openrc-2.16.1-r5.apk2025-05-14 00:10 1.9K 
[   ]sish-2.16.1-r5.apk2025-05-14 00:10 8.3M 
[   ]siril-lang-1.2.6-r1.apk2025-06-20 09:41 1.6M 
[   ]siril-doc-1.2.6-r1.apk2025-06-20 09:41 18K 
[   ]siril-1.2.6-r1.apk2025-06-20 09:41 2.9M 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 19:03 25K 
[   ]sipexer-1.2.0-r3.apk2025-05-14 00:10 2.9M 
[   ]singular-static-4.4.1-r3.apk2025-06-13 04:57 5.8M 
[   ]singular-emacs-4.4.1-r3.apk2025-06-13 04:57 101K 
[   ]singular-doc-4.4.1-r3.apk2025-06-13 04:57 1.3M 
[   ]singular-dev-4.4.1-r3.apk2025-06-13 04:57 364K 
[   ]singular-4.4.1-r3.apk2025-06-13 04:57 10M 
[   ]sing-geosite-20250608120644-r0.apk2025-06-16 15:40 1.1M 
[   ]sing-geoip-20250612-r0.apk2025-06-16 15:40 2.3M 
[   ]sing-box-zsh-completion-1.11.13-r0.apk2025-06-16 15:40 4.1K 
[   ]sing-box-openrc-1.11.13-r0.apk2025-06-16 15:40 2.1K 
[   ]sing-box-fish-completion-1.11.13-r0.apk2025-06-16 15:40 4.4K 
[   ]sing-box-bash-completion-1.11.13-r0.apk2025-06-16 15:40 5.1K 
[   ]sing-box-1.11.13-r0.apk2025-06-16 15:40 12M 
[   ]simpleiot-0.18.3-r0.apk2025-05-14 00:10 11M 
[   ]simpleble-dev-0.8.1-r0.apk2025-02-25 22:20 24K 
[   ]simpleble-0.8.1-r0.apk2025-02-25 22:20 1.2K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-13 12:27 540K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-13 12:27 529K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-13 12:27 546K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-13 12:27 534K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-13 12:27 527K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-13 12:27 520K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-13 12:27 541K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-13 12:27 530K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-13 12:27 542K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-13 12:27 531K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-13 12:27 535K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-13 12:27 524K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-13 12:27 550K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-13 12:27 538K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-13 12:27 545K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-13 12:27 551K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-13 12:27 538K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-13 12:27 533K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-13 12:27 525K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-13 12:27 518K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-13 12:27 549K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-13 12:27 536K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-13 12:27 451K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-13 12:27 450K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-13 12:27 492K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-13 12:27 487K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-13 12:27 449K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-13 12:27 527K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-13 12:27 517K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-13 12:27 537K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-13 12:27 525K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-13 12:27 14K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-13 12:27 490K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-13 12:27 484K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-13 12:27 540K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-13 12:27 530K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-13 12:27 544K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-13 12:27 533K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-13 12:27 537K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-13 12:27 528K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-13 12:27 547K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-13 12:27 535K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-13 12:27 509K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-13 12:27 526K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-13 12:27 520K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-13 12:27 504K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-13 12:27 483K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-13 12:27 485K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-13 12:27 482K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-13 12:27 478K 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-13 12:27 447K 
[   ]simh-3.11.1-r1.apk2024-10-25 19:03 3.0M 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 00:17 404K 
[   ]simgear-2024.1.1-r0.apk2025-03-05 00:17 2.2M 
[   ]simavr-dev-1.7-r1.apk2024-10-25 19:03 859K 
[   ]simavr-1.7-r1.apk2024-10-25 19:03 94K 
[   ]silc-client-doc-1.1.11-r17.apk2024-10-25 19:03 83K 
[   ]silc-client-1.1.11-r17.apk2024-10-25 19:03 855K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 19:03 13K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 19:03 8.0K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 19:03 39K 
[   ]signal-desktop-7.56.1-r0.apk2025-06-10 00:22 43M 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 19:03 340K 
[   ]sigma-0.23.1-r1.apk2024-10-25 19:03 237K 
[   ]sigil-lang-2.1.0-r0.apk2024-10-25 19:03 3.1M 
[   ]sigil-2.1.0-r0.apk2024-10-25 19:03 4.1M 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 19:03 1.8K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-24 21:28 10K 
[   ]shntool-3.0.10-r5.apk2025-02-24 21:28 57K 
[   ]shipments-0.3.0-r0.apk2024-10-25 19:03 23K 
[   ]shine-3.1.1-r0.apk2024-10-25 19:03 54K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 19:03 6.1K 
[   ]shfm-0.4.2-r1.apk2024-10-25 19:03 4.0K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 19:03 3.5K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 19:03 19K 
[   ]shellinabox-2.21-r3.apk2024-10-25 19:03 118K 
[   ]shc-4.0.3-r2.apk2024-10-25 19:03 17K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-10-25 19:03 28K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-10-25 19:03 3.5K 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-10-25 19:03 219K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 19:03 2.6M 
[   ]sfwbar-doc-1.0_beta16-r1.apk2024-12-12 19:02 26K 
[   ]sfwbar-1.0_beta16-r1.apk2024-12-12 19:02 270K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 19:03 9.4K 
[   ]sflowtool-6.02-r0.apk2024-10-25 19:03 40K 
[   ]sfizz-doc-1.2.3-r0.apk2025-05-16 20:14 3.0K 
[   ]sfizz-dev-1.2.3-r0.apk2025-05-16 20:14 15K 
[   ]sfizz-1.2.3-r0.apk2025-05-16 20:14 1.7M 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 19:03 4.4K 
[   ]setroot-2.0.2-r1.apk2024-10-25 19:03 12K 
[   ]serie-doc-0.4.6-r0.apk2025-05-05 08:20 6.6K 
[   ]serie-0.4.6-r0.apk2025-05-05 08:20 801K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-08 23:48 65K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-08 23:48 5.1K 
[   ]serialdv-1.1.4-r1.apk2025-02-08 23:48 6.5K 
[   ]sentrypeer-doc-4.0.4-r0.apk2025-03-21 16:21 3.3K 
[   ]sentrypeer-4.0.4-r0.apk2025-03-21 16:21 1.9M 
[   ]sentinel-proxy-openrc-2.1.0-r1.apk2025-06-13 14:12 2.2K 
[   ]sentinel-proxy-dev-2.1.0-r1.apk2025-06-13 14:12 4.4K 
[   ]sentinel-proxy-2.1.0-r1.apk2025-06-13 14:12 43K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-25 19:03 2.6K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-25 19:03 45K 
[   ]seed7-vim-05.20240322-r0.apk2024-10-25 19:03 4.0K 
[   ]seed7-nano-05.20240322-r0.apk2024-10-25 19:03 2.5K 
[   ]seed7-doc-05.20240322-r0.apk2024-10-25 19:03 1.6M 
[   ]seed7-05.20240322-r0.apk2024-10-25 19:03 10M 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 19:03 3.1K 
[   ]sedutil-1.15.1-r1.apk2024-10-25 19:03 188K 
[   ]secsipidx-libs-1.3.2-r12.apk2025-05-14 00:10 2.5M 
[   ]secsipidx-dev-1.3.2-r12.apk2025-05-14 00:10 5.3M 
[   ]secsipidx-1.3.2-r12.apk2025-05-14 00:10 2.8M 
[   ]seaweedfs-openrc-3.80-r5.apk2025-05-14 00:10 1.9K 
[   ]seaweedfs-doc-3.80-r5.apk2025-05-14 00:10 14K 
[   ]seaweedfs-3.80-r5.apk2025-05-14 00:10 25M 
[   ]sdrangel-7.22.7-r0.apk2025-05-27 10:00 46M 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 19:03 19K 
[   ]sdparm-1.12-r1.apk2024-10-25 19:03 148K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-24 20:49 2.1K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-24 20:49 12K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-24 20:49 75K 
[   ]sdc-0.0.15_git20250620-r1.apk2025-06-22 20:10 9.3M 
[   ]sct-2018.12.18-r1.apk2024-10-25 19:03 3.8K 
[   ]screenkey-pyc-1.5-r6.apk2024-10-25 19:03 73K 
[   ]screenkey-doc-1.5-r6.apk2024-10-25 19:03 11K 
[   ]screenkey-1.5-r6.apk2024-10-25 19:03 77K 
[   ]screen-message-doc-0.29-r0.apk2025-04-13 21:32 3.6K 
[   ]screen-message-0.29-r0.apk2025-04-13 21:32 9.7K 
[   ]scratch-doc-1.4.0.7-r1.apk2024-10-25 19:03 2.6K 
[   ]scratch-1.4.0.7-r1.apk2024-10-25 19:03 39M 
[   ]scooper-doc-1.3-r1.apk2024-10-25 19:03 2.6K 
[   ]scooper-1.3-r1.apk2024-10-25 19:03 496K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 19:03 6.2K 
[   ]schismtracker-20231029-r0.apk2024-10-25 19:03 371K 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 19:03 1.6M 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 19:03 230K 
[   ]scalingo-1.30.0-r10.apk2025-05-14 00:10 5.6M 
[   ]sc3-plugins-3.13.0-r2.apk2025-02-08 23:48 9.9M 
[   ]sc-controller-pyc-0.5.1-r0.apk2025-03-26 22:43 813K 
[   ]sc-controller-0.5.1-r0.apk2025-03-26 22:43 1.3M 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 19:03 4.5K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 19:03 99K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 19:03 35K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 19:03 22K 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 19:03 51K 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 19:03 1.3M 
[   ]sblg-0.5.11-r0.apk2024-10-25 19:03 41K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 19:03 58K 
[   ]sbase-0_git20210730-r3.apk2024-10-25 19:03 118K 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-22 14:39 934M 
[   ]satellite-openrc-1.0.0-r28.apk2025-05-14 00:10 1.9K 
[   ]satellite-doc-1.0.0-r28.apk2025-05-14 00:10 3.0K 
[   ]satellite-1.0.0-r28.apk2025-05-14 00:10 2.3M 
[   ]sandbar-0.1-r1.apk2025-05-14 18:16 14K 
[   ]sacc-doc-1.07-r0.apk2024-10-25 19:03 2.9K 
[   ]sacc-1.07-r0.apk2024-10-25 19:03 16K 
[   ]saait-doc-0.8-r0.apk2024-10-25 19:03 13K 
[   ]saait-0.8-r0.apk2024-10-25 19:03 6.8K 
[   ]s5cmd-2.3.0-r3.apk2025-05-14 00:10 5.2M 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 19:03 9.6K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 19:03 45K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 19:03 8.5K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 19:03 54K 
[   ]rygel-lang-0.44.2-r0.apk2025-03-21 16:21 567K 
[   ]rygel-doc-0.44.2-r0.apk2025-03-21 16:21 9.6K 
[   ]rygel-dev-0.44.2-r0.apk2025-03-21 16:21 43K 
[   ]rygel-0.44.2-r0.apk2025-03-21 16:21 772K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 19:03 2.3K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 19:03 2.0K 
[   ]ry-0.5.2-r1.apk2024-10-25 19:03 4.6K 
[   ]rvlprog-0.91-r2.apk2024-10-25 19:03 28K 
[   ]ruuvi-prometheus-openrc-0.1.9-r2.apk2025-05-14 00:10 1.7K 
[   ]ruuvi-prometheus-0.1.9-r2.apk2025-05-14 00:10 3.4M 
[   ]rustscan-2.3.0-r0.apk2024-10-25 19:03 1.5M 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-25 19:03 13K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-25 19:03 17K 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-25 19:03 8.6K 
[   ]rustic-0.9.3-r0.apk2024-10-25 19:03 6.1M 
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-10-25 19:03 2.2K 
[   ]rustdesk-server-1.1.10.3-r0.apk2024-10-25 19:03 2.4M 
[   ]rust-script-0.35.0-r0.apk2024-10-27 17:16 924K 
[   ]ruri-doc-3.8-r0.apk2025-06-24 02:43 2.2K 
[   ]ruri-3.8-r0.apk2025-06-24 02:43 90K 
[   ]runst-doc-0.1.7-r0.apk2024-10-25 19:03 7.7K 
[   ]runst-0.1.7-r0.apk2024-10-25 19:03 1.5M 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-11 11:19 35K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-11 11:19 21K 
[   ]ruby-libguestfs-1.52.0-r3.apk2025-06-13 14:12 105K 
[   ]ruby-hashdiff-doc-1.1.1-r1.apk2025-04-23 20:24 2.2K 
[   ]ruby-hashdiff-1.1.1-r1.apk2025-04-23 20:24 8.4K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-25 23:58 22K 
[   ]ruby-facter-4.9.0-r1.apk2025-04-23 20:24 218K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 00:00 2.3K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 00:00 3.1K 
[   ]ruby-dry-inflector-doc-1.1.0-r1.apk2025-04-23 20:24 2.3K 
[   ]ruby-dry-inflector-1.1.0-r1.apk2025-04-23 20:24 8.2K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-25 23:56 2.3K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-25 23:56 89K 
[   ]ruby-byebug-11.1.3-r0.apk2025-05-25 23:56 126K 
[   ]ruby-build-runtime-20250507-r0.apk2025-05-15 20:02 1.3K 
[   ]ruby-build-doc-20250507-r0.apk2025-05-15 20:02 4.8K 
[   ]ruby-build-20250507-r0.apk2025-05-15 20:02 91K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-25 23:54 2.3K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-25 23:54 14K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-25 23:52 2.3K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-25 23:52 11K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 19:03 759K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 19:03 13K 
[   ]rtptools-1.22-r2.apk2024-10-25 19:03 28K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 19:03 14K 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 19:03 29K 
[   ]rtl8821ce-src-5_git20250331-r0.apk2025-04-07 19:54 4.3M 
[   ]rtl8812au-src-5.6.4.2_git20250530-r0.apk2025-05-31 16:24 2.6M 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 19:03 4.0M 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 19:03 8.2K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 19:03 59K 
[   ]rtaudio-doc-6.0.1-r0.apk2025-04-18 05:47 194K 
[   ]rtaudio-dev-6.0.1-r0.apk2025-04-18 05:47 62K 
[   ]rtaudio-6.0.1-r0.apk2025-04-18 05:47 39K 
[   ]rt6-6.0.0-r0.apk2025-06-21 15:39 12M 
[   ]rt5-5.0.8-r0.apk2025-06-15 04:44 17M 
[   ]rsstail-doc-2.2-r0.apk2025-02-22 14:39 2.8K 
[   ]rsstail-2.2-r0.apk2025-02-22 14:39 8.7K 
[   ]rss-email-doc-0.5.0-r0.apk2024-10-25 19:03 6.4K 
[   ]rss-email-0.5.0-r0.apk2024-10-25 19:03 2.2M 
[   ]rpi-imager-doc-1.9.0-r0.apk2024-10-25 19:03 3.1K 
[   ]rpi-imager-1.9.0-r0.apk2024-10-25 19:03 697K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 19:03 586K 
[   ]rosenpass-0.2.2-r1.apk2025-02-01 18:23 1.0M 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 19:03 119K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 19:03 66K 
[   ]roll-doc-2.6.1-r0.apk2025-05-26 23:03 11K 
[   ]roll-bash-completion-2.6.1-r0.apk2025-05-26 23:03 1.9K 
[   ]roll-2.6.1-r0.apk2025-05-26 23:03 13K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 19:03 5.0K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 19:03 8.8K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 19:03 5.0K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 19:03 124K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 19:03 96K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 19:03 19K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 19:03 18K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 19:03 141K 
[   ]rke-doc-1.4.3-r15.apk2025-05-14 00:10 3.0K 
[   ]rke-1.4.3-r15.apk2025-05-14 00:10 21M 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 19:03 3.0K 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 19:03 55K 
[   ]rizin-libs-0.6.3-r2.apk2025-05-14 00:10 4.0M 
[   ]rizin-doc-0.6.3-r2.apk2025-05-14 00:10 17K 
[   ]rizin-dev-0.6.3-r2.apk2025-05-14 00:10 298K 
[   ]rizin-cutter-dev-2.3.2-r2.apk2024-10-25 19:03 108K 
[   ]rizin-cutter-2.3.2-r2.apk2024-10-25 19:03 2.1M 
[   ]rizin-0.6.3-r2.apk2025-05-14 00:10 2.6M 
[   ]rivercarro-doc-0.5.0-r2.apk2025-05-24 02:16 3.1K 
[   ]rivercarro-0.5.0-r2.apk2025-05-24 02:16 196K 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-14 00:10 2.4K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-14 00:10 6.0K 
[   ]river-luatile-0.1.4-r0.apk2025-05-19 13:10 248K 
[   ]river-bedload-zsh-completion-0.1.1-r2.apk2025-05-24 02:16 1.9K 
[   ]river-bedload-doc-0.1.1-r2.apk2025-05-24 02:16 2.3K 
[   ]river-bedload-0.1.1-r2.apk2025-05-24 02:16 184K 
[   ]ripasso-cursive-0.7.0-r0.apk2025-05-23 09:26 3.1M 
[   ]rio-terminfo-0.2.20-r0.apk2025-06-21 20:05 3.4K 
[   ]rio-doc-0.2.20-r0.apk2025-06-21 20:05 2.3K 
[   ]rio-0.2.20-r0.apk2025-06-21 20:05 10M 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 19:03 1.7K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 19:03 16K 
[   ]rinetd-0.73-r0.apk2024-10-25 19:03 14K 
[   ]riemann-cli-0.8.0-r2.apk2024-10-25 19:03 515K 
[   ]ri-li-2.0.1-r1.apk2024-10-25 19:03 18M 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 19:03 73K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 19:03 44K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 19:03 12K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 19:03 3.5K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 19:03 13K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-25 19:03 2.1K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-25 19:03 3.4K 
[   ]rezolus-2.11.1-r3.apk2024-10-25 19:03 886K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 21:23 268K 
[   ]restinio-0.6.19-r1.apk2024-12-14 21:23 1.2K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 19:03 2.9K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 19:03 5.9K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 19:03 12K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 19:03 750K 
[   ]resources-lang-1.8.0-r1.apk2025-05-10 03:12 126K 
[   ]resources-1.8.0-r1.apk2025-05-10 03:12 2.3M 
[   ]reredirect-doc-0.3-r0.apk2024-10-25 19:03 2.8K 
[   ]reredirect-0.3-r0.apk2024-10-25 19:03 8.7K 
[   ]reprotest-pyc-0.7.29-r0.apk2024-12-23 04:07 103K 
[   ]reprotest-0.7.29-r0.apk2024-12-23 04:07 80K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-22 14:39 1.7K 
[   ]repowerd-2023.07-r3.apk2025-02-22 14:39 854K 
[   ]repo-doc-2.53-r0.apk2025-03-21 16:21 38K 
[   ]repo-2.53-r0.apk2025-03-21 16:21 17K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 19:03 1.6K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 19:03 4.1K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 19:03 6.5K 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 19:03 1.6K 
[   ]repgrep-0.15.0-r0.apk2024-10-25 19:03 1.2M 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 19:03 6.1K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 19:03 18K 
[   ]remco-openrc-0.12.5-r0.apk2025-05-28 07:59 1.7K 
[   ]remco-doc-0.12.5-r0.apk2025-05-28 07:59 2.3K 
[   ]remco-0.12.5-r0.apk2025-05-28 07:59 10M 
[   ]remake-make-1.5-r1.apk2024-10-25 19:03 1.5K 
[   ]remake-doc-1.5-r1.apk2024-10-25 19:03 202K 
[   ]remake-dev-1.5-r1.apk2024-10-25 19:03 2.9K 
[   ]remake-1.5-r1.apk2024-10-25 19:03 137K 
[   ]regclient-0.8.2-r2.apk2025-05-14 00:10 14M 
[   ]regal-zsh-completion-0.33.1-r0.apk2025-05-14 17:28 4.0K 
[   ]regal-fish-completion-0.33.1-r0.apk2025-05-14 17:28 4.3K 
[   ]regal-bash-completion-0.33.1-r0.apk2025-05-14 17:28 6.1K 
[   ]regal-0.33.1-r0.apk2025-05-14 17:28 12M 
[   ]reg-0.16.1-r28.apk2025-05-14 00:10 4.8M 
[   ]reflex-doc-20241231-r0.apk2025-06-21 07:06 37K 
[   ]reflex-dev-20241231-r0.apk2025-06-21 07:06 4.6K 
[   ]reflex-20241231-r0.apk2025-06-21 07:06 63K 
[   ]refine-lang-0.5.9-r0.apk2025-05-23 16:56 31K 
[   ]refine-0.5.9-r0.apk2025-05-23 16:56 33K 
[   ]refind-doc-0.14.2-r0.apk2024-10-25 19:03 14K 
[   ]refind-0.14.2-r0.apk2024-10-25 19:03 1.0M 
[   ]redhat-fonts-4.1.0-r0.apk2025-03-21 16:21 809K 
[   ]recyclarr-doc-7.4.1-r0.apk2025-02-24 11:55 2.2K 
[   ]recyclarr-7.4.1-r0.apk2025-02-24 11:55 2.6M 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 19:03 21K 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 19:03 53K 
[   ]recoll-1.37.5-r1.apk2024-10-25 19:03 2.7M 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 19:03 442K 
[   ]reason-rtop-3.8.2-r1.apk2024-10-25 19:03 24M 
[   ]reason-3.8.2-r1.apk2024-10-25 19:03 19M 
[   ]readosm-dev-1.1.0-r3.apk2025-05-14 00:10 20K 
[   ]readosm-1.1.0-r3.apk2025-05-14 00:10 15K 
[   ]reaction-tools-2.1.0-r0.apk2025-06-24 20:55 5.0K 
[   ]reaction-openrc-2.1.0-r0.apk2025-06-24 20:55 1.8K 
[   ]reaction-2.1.0-r0.apk2025-06-24 20:55 1.4M 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 19:30 3.7K 
[   ]rdrview-0.1.3-r0.apk2025-02-22 19:30 28K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 19:02 794K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 19:02 334K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 19:02 36K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 19:02 1.7K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 19:02 19K 
[   ]razercfg-0.42-r7.apk2024-10-25 19:02 78K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 19:02 3.7K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 19:02 4.2K 
[   ]rauc-1.10.1-r0.apk2024-10-25 19:02 143K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 19:02 5.4K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 19:02 4.7K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 19:02 6.7K 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 19:02 3.6K 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 19:02 5.6M 
[   ]rathole-0.5.0-r0.apk2024-10-25 19:02 1.3M 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 08:50 886K 
[   ]rankwidth-static-0.9-r3.apk2024-10-25 19:02 4.3K 
[   ]rankwidth-libs-0.9-r3.apk2024-10-25 19:02 4.8K 
[   ]rankwidth-doc-0.9-r3.apk2024-10-25 19:02 3.0K 
[   ]rankwidth-dev-0.9-r3.apk2024-10-25 19:02 2.9K 
[   ]rankwidth-0.9-r3.apk2024-10-25 19:02 5.3K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-17 21:22 31K 
[   ]randrctl-1.10.0-r0.apk2024-11-17 21:22 28K 
[   ]raku-tinyfloats-doc-0.0.5-r0.apk2025-06-07 07:49 4.1K 
[   ]raku-tinyfloats-0.0.5-r0.apk2025-06-07 07:49 35K 
[   ]raku-terminal-quickcharts-doc-0.0.2-r0.apk2025-06-08 16:03 3.1K 
[   ]raku-terminal-quickcharts-0.0.2-r0.apk2025-06-08 16:03 214K 
[   ]raku-shell-command-doc-1.1-r0.apk2025-06-08 16:03 3.5K 
[   ]raku-shell-command-1.1-r0.apk2025-06-08 16:03 40K 
[   ]raku-protocol-mqtt-doc-0.0.4-r0.apk2025-06-08 16:03 2.3K 
[   ]raku-protocol-mqtt-0.0.4-r0.apk2025-06-08 16:03 223K 
[   ]raku-oo-monitors-doc-1.1.5-r0.apk2025-06-07 07:49 2.9K 
[   ]raku-oo-monitors-1.1.5-r0.apk2025-06-07 07:49 13K 
[   ]raku-meta6-doc-0.0.30-r0.apk2025-06-07 07:49 3.2K 
[   ]raku-meta6-0.0.30-r0.apk2025-06-07 07:49 108K 
[   ]raku-log-timeline-doc-0.5.2-r0.apk2025-06-07 07:49 5.4K 
[   ]raku-log-timeline-0.5.2-r0.apk2025-06-07 07:49 278K 
[   ]raku-librarymake-doc-1.0.5-r0.apk2025-06-08 16:03 4.5K 
[   ]raku-librarymake-1.0.5-r0.apk2025-06-08 16:03 37K 
[   ]raku-json-unmarshal-doc-0.18-r0.apk2025-06-07 07:49 3.3K 
[   ]raku-json-unmarshal-0.18-r0.apk2025-06-07 07:49 72K 
[   ]raku-json-optin-doc-0.0.2-r0.apk2025-06-07 07:49 2.7K 
[   ]raku-json-optin-0.0.2-r0.apk2025-06-07 07:49 22K 
[   ]raku-json-name-doc-0.0.7-r0.apk2025-06-07 07:49 2.8K 
[   ]raku-json-name-0.0.7-r0.apk2025-06-07 07:49 37K 
[   ]raku-json-marshal-doc-0.0.25-r0.apk2025-06-07 07:49 3.7K 
[   ]raku-json-marshal-0.0.25-r0.apk2025-06-07 07:49 71K 
[   ]raku-json-jwt-doc-1.1.2-r0.apk2025-06-07 07:49 3.2K 
[   ]raku-json-jwt-1.1.2-r0.apk2025-06-07 07:49 36K 
[   ]raku-json-class-doc-0.0.21-r0.apk2025-06-07 07:49 3.3K 
[   ]raku-json-class-0.0.21-r0.apk2025-06-07 07:49 48K 
[   ]raku-io-socket-async-ssl-doc-0.8.2-r0.apk2025-06-07 07:49 6.2K 
[   ]raku-io-socket-async-ssl-0.8.2-r0.apk2025-06-07 07:49 276K 
[   ]raku-io-path-childsecure-doc-1.2-r0.apk2025-06-07 07:49 2.9K 
[   ]raku-io-path-childsecure-1.2-r0.apk2025-06-07 07:49 11K 
[   ]raku-if-doc-0.1.3-r0.apk2025-06-07 07:49 2.6K 
[   ]raku-if-0.1.3-r0.apk2025-06-07 07:49 28K 
[   ]raku-http-hpack-doc-1.0.3-r0.apk2025-06-07 07:49 3.2K 
[   ]raku-http-hpack-1.0.3-r0.apk2025-06-07 07:49 88K 
[   ]raku-file-which-doc-1.0.4-r0.apk2025-06-08 16:03 3.6K 
[   ]raku-file-which-1.0.4-r0.apk2025-06-08 16:03 106K 
[   ]raku-file-ignore-doc-1.2-r0.apk2025-06-08 16:03 4.2K 
[   ]raku-file-ignore-1.2-r0.apk2025-06-08 16:03 46K 
[   ]raku-file-find-doc-0.2.1-r0.apk2025-06-08 16:03 4.2K 
[   ]raku-file-find-0.2.1-r0.apk2025-06-08 16:03 17K 
[   ]raku-docker-file-doc-1.1-r0.apk2025-06-07 07:49 2.5K 
[   ]raku-docker-file-1.1-r0.apk2025-06-07 07:49 131K 
[   ]raku-digest-sha1-native-doc-0.06-r0.apk2025-06-08 16:03 2.4K 
[   ]raku-digest-sha1-native-0.06-r0.apk2025-06-08 16:03 47K 
[   ]raku-digest-hmac-doc-1.0.6_git20231116-r0.apk2025-06-07 07:49 3.3K 
[   ]raku-digest-hmac-1.0.6_git20231116-r0.apk2025-06-07 07:49 7.2K 
[   ]raku-digest-doc-1.1.0-r0.apk2025-06-07 07:49 2.8K 
[   ]raku-digest-1.1.0-r0.apk2025-06-07 07:49 862K 
[   ]raku-datetime-parse-doc-0.9.3-r0.apk2025-06-07 07:49 3.0K 
[   ]raku-datetime-parse-0.9.3-r0.apk2025-06-07 07:49 48K 
[   ]raku-crypt-random-doc-0.4.1-r0.apk2025-06-07 07:49 2.5K 
[   ]raku-crypt-random-0.4.1-r0.apk2025-06-07 07:49 109K 
[   ]raku-cro-websocket-doc-0.8.10-r0.apk2025-06-08 16:03 2.4K 
[   ]raku-cro-websocket-0.8.10-r0.apk2025-06-08 16:03 1.8M 
[   ]raku-cro-webapp-doc-0.10.0-r0.apk2025-06-07 07:49 2.4K 
[   ]raku-cro-webapp-0.10.0-r0.apk2025-06-07 07:49 4.5M 
[   ]raku-cro-tls-doc-0.8.10-r0.apk2025-06-07 07:49 2.2K 
[   ]raku-cro-tls-0.8.10-r0.apk2025-06-07 07:49 41K 
[   ]raku-cro-http-doc-0.8.10-r0.apk2025-06-07 07:49 2.8K 
[   ]raku-cro-http-0.8.10-r0.apk2025-06-07 07:49 5.3M 
[   ]raku-cro-doc-0.8.10-r0.apk2025-06-08 16:03 2.8K 
[   ]raku-cro-core-doc-0.8.10-r0.apk2025-06-07 07:49 2.4K 
[   ]raku-cro-core-0.8.10-r0.apk2025-06-07 07:49 776K 
[   ]raku-cro-0.8.10-r0.apk2025-06-08 16:03 2.5M 
[   ]raku-cbor-simple-doc-0.1.4-r0.apk2025-06-07 07:49 7.0K 
[   ]raku-cbor-simple-0.1.4-r0.apk2025-06-07 07:49 173K 
[   ]raku-base64-doc-0.1.0-r0.apk2025-06-07 07:49 2.8K 
[   ]raku-base64-0.1.0-r0.apk2025-06-07 07:49 20K 
[   ]radarr-openrc-5.26.2.10099-r0.apk2025-06-13 16:25 2.0K 
[   ]radarr-5.26.2.10099-r0.apk2025-06-13 16:25 28M 
[   ]r2ghidra-5.9.4-r2.apk2024-11-19 17:26 14M 
[   ]quodlibet-zsh-completion-4.6.0-r2.apk2025-05-14 00:10 2.7K 
[   ]quodlibet-pyc-4.6.0-r2.apk2025-05-14 00:10 1.8M 
[   ]quodlibet-lang-4.6.0-r2.apk2025-05-14 00:10 1.2M 
[   ]quodlibet-doc-4.6.0-r2.apk2025-05-14 00:10 8.7K 
[   ]quodlibet-bash-completion-4.6.0-r2.apk2025-05-14 00:10 4.7K 
[   ]quodlibet-4.6.0-r2.apk2025-05-14 00:10 1.0M 
[   ]quickjs-ng-libs-0.10.1-r0.apk2025-05-16 17:20 339K 
[   ]quickjs-ng-doc-0.10.1-r0.apk2025-05-16 17:20 6.3K 
[   ]quickjs-ng-dev-0.10.1-r0.apk2025-05-16 17:20 14K 
[   ]quickjs-ng-0.10.1-r0.apk2025-05-16 17:20 77K 
[   ]quickemu-doc-4.9.7-r0.apk2025-06-15 20:03 25K 
[   ]quickemu-4.9.7-r0.apk2025-06-15 20:03 56K 
[   ]queercat-1.0.0-r0.apk2024-10-25 19:02 7.3K 
[   ]qucs-s-lang-1.1.0-r1.apk2024-10-25 19:02 865K 
[   ]qucs-s-doc-1.1.0-r1.apk2024-10-25 19:02 2.4K 
[   ]qucs-s-1.1.0-r1.apk2024-10-25 19:02 3.4M 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 19:02 465K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 19:02 2.1K 
[   ]qtpass-1.4.0-r0.apk2024-10-25 19:02 420K 
[   ]qtox-1.17.6-r6.apk2024-10-25 19:02 5.0M 
[   ]qtmir-dev-0.7.2_git20250407-r0.apk2025-04-18 05:47 6.6K 
[   ]qtmir-0.7.2_git20250407-r0.apk2025-04-18 05:47 505K 
[   ]qtile-pyc-0.30.0-r0.apk2025-02-22 14:39 837K 
[   ]qtile-0.30.0-r0.apk2025-02-22 14:39 436K 
[   ]qt6ct-0.9-r3.apk2024-12-09 19:39 192K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 19:02 1.5K 
[   ]qt5ct-1.8-r0.apk2024-10-25 19:02 224K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 19:02 3.9K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 19:02 13K 
[   ]qt-creator-15.0.1-r1.apk2025-04-13 21:31 44M 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 19:02 4.4K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 19:02 426K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 10:45 11K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 10:45 446K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 19:02 1.0M 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-10-25 19:02 62K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-10-25 19:02 54K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 19:02 172K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-14 00:10 5.6K 
[   ]qperf-0.4.11-r2.apk2025-05-14 00:10 30K 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 20:02 4.2K 
[   ]qpdfview-0.5-r2.apk2025-01-29 20:02 1.0M 
[   ]qownnotes-lang-23.6.6-r0.apk2024-10-25 19:02 4.4M 
[   ]qownnotes-23.6.6-r0.apk2024-10-25 19:02 2.3M 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 19:02 29K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 19:02 6.8K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 19:02 1.5K 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 19:02 139K 
[   ]qmk-cli-pyc-1.1.8-r0.apk2025-06-02 03:03 22K 
[   ]qmk-cli-1.1.8-r0.apk2025-06-02 03:03 15K 
[   ]qgis-server-3.40.8-r0.apk2025-06-22 10:53 1.7M 
[   ]qgis-lang-3.40.8-r0.apk2025-06-22 10:53 33M 
[   ]qgis-grass-3.40.8-r0.apk2025-06-22 10:53 1.3M 
[   ]qgis-doc-3.40.8-r0.apk2025-06-22 10:53 3.1K 
[   ]qgis-dev-3.40.8-r0.apk2025-06-22 10:53 3.5M 
[   ]qgis-3.40.8-r0.apk2025-06-22 10:53 46M 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 19:02 1.1M 
[   ]qflipper-1.3.3-r1.apk2024-10-25 19:02 476K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 19:02 14K 
[   ]qdjango-0.6.2-r1.apk2024-10-25 19:02 97K 
[   ]qbittorrent-cli-2.2.0-r0.apk2025-05-16 14:55 5.9M 
[   ]qadwaitadecorations-0.1.6-r0.apk2025-05-10 03:12 45K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 19:02 810K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 19:02 113K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 19:02 871K 
[   ]pypykatz-pyc-0.6.11-r1.apk2025-05-29 12:00 721K 
[   ]pypykatz-0.6.11-r1.apk2025-05-29 12:00 315K 
[   ]pypy3-tkinter-7.3.12-r0.apk2024-10-25 19:02 300K 
[   ]pypy3-tests-7.3.12-r0.apk2024-10-25 19:02 13M 
[   ]pypy3-pyc-7.3.12-r0.apk2024-10-25 19:02 5.9M 
[   ]pypy3-dev-7.3.12-r0.apk2024-10-25 19:02 556K 
[   ]pypy3-7.3.12-r0.apk2024-10-25 19:02 17M 
[   ]pypy-tkinter-7.3.12-r0.apk2024-10-25 19:02 452K 
[   ]pypy-dev-7.3.12-r0.apk2024-10-25 19:02 78K 
[   ]pypy-bootstrap-7.3.12-r0.apk2024-10-25 19:02 19M 
[   ]pypy-7.3.12-r0.apk2024-10-25 19:02 18M 
[   ]pyonji-0.1.0-r5.apk2025-05-14 00:10 3.0M 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 19:02 182K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 19:02 56K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 19:02 348K 
[   ]pympress-1.8.5-r1.apk2024-10-25 19:02 181K 
[   ]pyinfra-pyc-3.2-r0.apk2025-01-23 02:45 349K 
[   ]pyinfra-3.2-r0.apk2025-01-23 02:45 186K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 19:02 61K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 19:02 45K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 19:02 8.0K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 19:02 15K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 19:02 49K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 19:02 39K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 19:02 29K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 19:02 45K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 09:41 68K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 09:41 52K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-14 18:16 95K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-14 18:16 78K 
[   ]py3-yosys-0.42-r1.apk2025-06-12 13:59 1.8K 
[   ]py3-yara-4.5.1-r0.apk2024-10-25 19:02 17K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 19:02 47K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 19:02 32K 
[   ]py3-xsdata-pyc-25.4-r0.apk2025-04-14 09:33 393K 
[   ]py3-xsdata-25.4-r0.apk2025-04-14 09:33 190K 
[   ]py3-xlwt-pyc-1.3.0-r10.apk2025-05-14 00:10 165K 
[   ]py3-xlwt-1.3.0-r10.apk2025-05-14 00:10 94K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 13:32 312K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 11:18 34K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 15:13 7.1K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 15:13 12K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 19:02 25K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 19:02 13K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 19:02 111K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 19:02 53K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 19:02 28K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 19:02 17K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 12:00 131K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 12:00 83K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 19:02 14K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 19:02 13K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 16:00 12K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 16:00 22K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 19:02 13K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 19:02 7.6K 
[   ]py3-webrtcvad-pyc-2.0.10-r1.apk2024-10-25 19:02 2.8K 
[   ]py3-webrtcvad-2.0.10-r1.apk2024-10-25 19:02 23K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 19:02 20K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 19:02 18K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 19:02 80K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 19:02 41K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 19:02 2.6K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 19:02 4.6K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-10-25 19:02 12K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-10-25 19:02 22K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 21:14 12K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 21:14 14K 
[   ]py3-vdf-pyc-3.4-r1.apk2024-10-25 19:02 17K 
[   ]py3-vdf-3.4-r1.apk2024-10-25 19:02 11K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 19:02 8.6K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 19:02 19K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 19:02 2.8K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 19:02 3.5K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 19:02 15K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 19:02 14K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 19:02 25K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 19:02 15K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 19:02 8.7K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 19:02 9.6K 
[   ]py3-unoconv-0.9.0-r4.apk2025-05-14 00:10 26K 
[   ]py3-unidns-pyc-0.0.1-r3.apk2025-05-29 12:00 21K 
[   ]py3-unidns-examples-0.0.1-r3.apk2025-05-29 12:00 2.6K 
[   ]py3-unidns-0.0.1-r3.apk2025-05-29 12:00 13K 
[   ]py3-unicrypto-pyc-0.0.10-r3.apk2025-05-29 12:00 92K 
[   ]py3-unicrypto-0.0.10-r3.apk2025-05-29 12:00 59K 
[   ]py3-unicorn-pyc-2.0.1-r4.apk2024-10-25 19:02 57K 
[   ]py3-unicorn-2.0.1-r4.apk2024-10-25 19:02 34K 
[   ]py3-unearth-pyc-0.17.5-r0.apk2025-04-11 05:33 82K 
[   ]py3-unearth-0.17.5-r0.apk2025-04-11 05:33 40K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 19:02 9.1K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 19:02 6.8K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 19:02 2.2K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 19:02 5.7K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 19:02 16K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 19:02 11K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 19:02 14K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 19:02 9.9K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 19:02 39K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 19:02 24K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-22 14:39 26K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-22 14:39 17K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 19:02 55K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 19:02 34K 
[   ]py3-trimesh-pyc-3.22.1-r2.apk2024-10-25 19:02 731K 
[   ]py3-trimesh-3.22.1-r2.apk2024-10-25 19:02 629K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 19:02 8.8K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 19:02 9.2K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 19:02 129K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 19:02 98K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 19:02 236K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 19:02 292K 
[   ]py3-tokenizers-pyc-0.21.1-r0.apk2025-04-25 10:49 29K 
[   ]py3-tokenizers-0.21.1-r0.apk2025-04-25 10:49 1.6M 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 19:02 275K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 19:02 179K 
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-10-25 19:02 17K 
[   ]py3-tls_parser-2.0.1-r1.apk2024-10-25 19:02 9.2K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 19:02 28K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 19:02 2.8K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 19:02 24K 
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-10-25 19:02 62K 
[   ]py3-tidalapi-0.7.4-r1.apk2024-10-25 19:02 36K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 19:02 6.4K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 19:02 6.0K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 19:02 9.0K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 19:02 10K 
[   ]py3-tg-pyc-0.19.0-r5.apk2024-10-25 19:02 81K 
[   ]py3-tg-0.19.0-r5.apk2024-10-25 19:02 73K 
[   ]py3-textual-pyc-3.2.0-r0.apk2025-05-14 09:52 1.2M 
[   ]py3-textual-3.2.0-r0.apk2025-05-14 09:52 596K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 19:02 16K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 19:02 17K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 19:02 21K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 19:02 15K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 19:02 31K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 19:02 21K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 19:02 13K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 19:02 9.2K 
[   ]py3-telegram-pyc-0.18.0-r3.apk2024-10-25 19:02 21K 
[   ]py3-telegram-bot-pyc-21.10-r0.apk2025-02-22 14:39 724K 
[   ]py3-telegram-bot-21.10-r0.apk2025-02-22 14:39 454K 
[   ]py3-telegram-0.18.0-r3.apk2024-10-25 19:02 14K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 19:02 52K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 19:02 23K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 19:02 6.6K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 19:02 6.9K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-10-25 19:02 5.6K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-10-25 19:02 9.8K 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 19:02 2.1K 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 19:02 2.5M 
[   ]py3-svgpath-pyc-6.3-r3.apk2024-10-25 19:02 22K 
[   ]py3-svgpath-6.3-r3.apk2024-10-25 19:02 17K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-10 18:55 42K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-10 18:55 2.1K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-10 18:55 30K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 19:02 10K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 19:02 7.7K 
[   ]py3-sssd-pyc-2.11.0-r0.apk2025-06-06 08:57 49K 
[   ]py3-sssd-2.11.0-r0.apk2025-06-06 08:57 58K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-06 23:03 41K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-06 23:03 26K 
[   ]py3-spotipy-pyc-2.24.0-r2.apk2025-05-14 18:16 50K 
[   ]py3-spotipy-2.24.0-r2.apk2025-05-14 18:16 30K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 07:52 219K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 07:52 118K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 19:02 6.2K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 19:02 6.1K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 19:02 24K 
[   ]py3-spin-0.8-r0.apk2024-10-25 19:02 19K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 19:02 13K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 19:02 5.7K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 19:02 6.1K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 19:02 4.9K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 19:02 7.3K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-10-25 19:02 19K 
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-10-25 19:02 15K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-14 00:10 5.6K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-14 00:10 4.9K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 19:02 9.3K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 19:02 7.6K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 19:02 20K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 19:02 11K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 19:02 24K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 19:02 16K 
[   ]py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk2025-05-10 03:12 19K 
[   ]py3-sphinxcontrib-plantuml-0.30-r0.apk2025-05-10 03:12 12K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk2024-10-25 19:02 17K 
[   ]py3-sphinxcontrib-phpdomain-0.12.0-r0.apk2024-10-25 19:02 11K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 19:02 11K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 19:02 8.7K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-10 03:12 16K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-10 03:12 10K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 19:02 3.1K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 19:02 4.1K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 19:02 11K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 19:02 7.9K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 19:02 12K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 19:02 11K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 19:02 15K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 19:02 11K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 19:02 44K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 19:02 21K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 19:02 34K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 19:02 4.3K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 19:02 18K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 19:02 15K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 19:02 11K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 19:02 7.6K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 19:02 7.9K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 19:02 6.6K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 19:02 17K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 19:02 3.3K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 19:02 3.9K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 19:02 3.5K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 19:02 9.1K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk2024-12-06 23:01 16K 
[   ]py3-sphinxcontrib-doxylink-1.12.3-r0.apk2024-12-06 23:01 12K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 19:02 34K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 19:02 18K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 19:02 4.3K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 19:02 5.7K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 19:02 9.2K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 19:02 7.5K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 19:02 4.1K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 19:02 5.7K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 19:02 12K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 19:02 9.0K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 19:02 9.3K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 19:02 7.6K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 19:02 2.2K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 19:02 8.8K 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 19:02 5.5K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 19:02 2.4M 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 19:02 2.5K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 19:02 30K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 19:02 43K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 19:02 81K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 19:02 1.8K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 19:02 65K 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 19:02 2.3K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 19:02 1.2M 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 19:02 2.0K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 19:02 11K 
[   ]py3-sphinx-autoapi-pyc-3.6.0-r0.apk2025-02-22 14:39 59K 
[   ]py3-sphinx-autoapi-3.6.0-r0.apk2025-02-22 14:39 31K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 19:02 22K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 19:02 14K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 19:02 44K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 19:02 30K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 19:02 14K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 19:02 11K 
[   ]py3-solidpython-pyc-1.1.2-r2.apk2024-10-25 19:02 120K 
[   ]py3-solidpython-1.1.2-r2.apk2024-10-25 19:02 79K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 19:02 27K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 19:02 17K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-02 23:37 95K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-02 23:37 47K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 19:02 26K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 19:02 15K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 19:02 729K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 19:02 5.8K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 19:02 382K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-13 21:31 2.0K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-13 21:31 209K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 19:02 8.1K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 19:02 7.5K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 19:02 12K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 19:02 7.9K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 19:02 157K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 19:02 214K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 19:02 5.8K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 19:02 8.0K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-14 18:16 16K 
[   ]py3-simber-0.2.6-r5.apk2025-05-14 18:16 12K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 19:02 79K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 19:02 7.2K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 19:02 44K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 15:13 55K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 15:13 38K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 19:02 6.0K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 19:02 5.4K 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 19:02 42K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 19:02 2.5M 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 19:02 4.9K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 19:02 105K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 19:02 482K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 19:02 240K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 19:02 74K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 19:02 56K 
[   ]py3-schema-pyc-0.7.5-r4.apk2024-10-25 19:02 18K 
[   ]py3-schema-0.7.5-r4.apk2024-10-25 19:02 18K 
[   ]py3-rtree-pyc-1.4.0-r2.apk2025-05-31 10:29 47K 
[   ]py3-rtree-1.4.0-r2.apk2025-05-31 10:29 26K 
[   ]py3-rst2pdf-pyc-0.102-r0.apk2025-06-10 18:55 206K 
[   ]py3-rst2pdf-0.102-r0.apk2025-06-10 18:55 155K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 19:02 24K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 19:02 2.2K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 19:02 12K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 19:02 6.6K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 19:02 6.1K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 19:02 6.1K 
[   ]py3-rst-0.1-r9.apk2024-10-25 19:02 5.6K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 19:02 16K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 19:02 35K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 19:02 54K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 19:02 29K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 19:02 91K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 19:02 47K 
[   ]py3-rofi-pyc-1.0.1-r0.apk2025-06-10 19:07 12K 
[   ]py3-rofi-1.0.1-r0.apk2025-06-10 19:07 12K 
[   ]py3-rns-pyc-0.9.6-r0.apk2025-05-27 21:32 704K 
[   ]py3-rns-0.9.6-r0.apk2025-05-27 21:32 344K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 19:02 11K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 19:02 13K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 19:02 40K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 19:02 31K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 19:02 12K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 19:02 13K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 19:02 6.6K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 19:02 5.5K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 17:24 11K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 17:24 12K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-18 08:46 94K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-18 08:46 50K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-04-22 17:48 23K 
[   ]py3-remind-0.19.2-r0.apk2025-04-22 17:48 24K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 19:02 54K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 19:02 37K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-15 03:57 50K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-15 03:57 39K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 19:02 18K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 19:02 12K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 19:02 50K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 19:02 5.1K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 19:02 32K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 19:02 15K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 19:02 11K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-10-25 19:02 25K 
[   ]py3-queuelib-1.7.0-r0.apk2024-10-25 19:02 13K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 19:02 7.8K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 19:02 8.8K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 19:02 25K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 19:02 33K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 19:02 180K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 19:02 56K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 19:02 98K 
[   ]py3-qgis-3.40.8-r0.apk2025-06-22 10:53 21M 
[   ]py3-qdldl-0.1.5-r4.apk2024-10-25 19:02 94K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 19:02 37K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-10-25 19:02 54K 
[   ]py3-pyzor-1.0.0-r11.apk2024-10-25 19:02 40K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 21:46 40K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 21:46 23K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 19:02 293K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 19:02 806K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 19:02 8.4K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 19:02 2.3K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 19:02 8.6K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-15 21:26 6.5K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-15 21:26 8.1K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 19:02 68K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 19:02 39K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 19:02 9.3K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 19:02 7.4K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 19:02 25K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 19:02 20K 
[   ]py3-pytest-regtest-pyc-2.3.2-r1.apk2025-02-22 14:39 30K 
[   ]py3-pytest-regtest-2.3.2-r1.apk2025-02-22 14:39 16K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 19:02 7.9K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 19:02 10K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 19:02 22K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 19:02 22K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 19:02 2.8K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 19:02 4.5K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 19:02 7.0K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 19:02 5.9K 
[   ]py3-pytest-datadir-pyc-1.7.1-r0.apk2025-06-04 22:33 4.9K 
[   ]py3-pytest-datadir-1.7.1-r0.apk2025-06-04 22:33 6.6K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-14 00:10 39K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-14 00:10 30K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 19:02 6.0K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 19:02 2.8K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 19:02 7.0K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 20:44 2.9K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 20:44 39K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 22:09 68K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 22:09 36K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 19:02 97K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 19:02 68K 
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-14 18:16 23K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-14 18:16 25K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 19:02 63K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 19:02 56K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-16 22:35 32K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-16 22:35 35K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 19:02 78K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 19:02 44K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 19:02 14K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 19:02 14K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 19:02 26K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 19:02 22K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 19:02 18K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 19:02 9.6K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 19:02 47K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 19:02 4.3K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 19:02 37K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 19:02 90K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 19:02 2.1K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 19:02 52K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 19:02 42K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 19:02 76K 
[   ]py3-pypandoc-pyc-1.15-r0.apk2025-02-22 14:39 23K 
[   ]py3-pypandoc-1.15-r0.apk2025-02-22 14:39 21K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 04:45 246K 
[   ]py3-pymupdf-pyc-1.25.5-r0.apk2025-06-10 18:55 503K 
[   ]py3-pymupdf-1.25.5-r0.apk2025-06-10 18:55 318K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-22 14:39 6.5K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-22 14:39 12K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 19:02 9.8K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 19:02 9.2K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 19:02 32K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 19:02 17K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 19:02 31K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 19:02 23K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 19:02 29K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 19:02 22K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 19:02 32K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 19:02 52K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 19:02 35K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 19:02 18K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 19:02 8.9K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 19:02 17K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 19:02 9.4K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 19:02 20K 
[   ]py3-pyinstrument-pyc-5.0.2-r0.apk2025-05-25 05:35 100K 
[   ]py3-pyinstrument-5.0.2-r0.apk2025-05-25 05:35 108K 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 19:02 502K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 19:02 1.9M 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 19:02 10K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 19:02 15K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 19:02 5.1K 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 19:02 36K 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 09:41 1.3M 
[   ]py3-pyglet-pyc-2.1.0-r0.apk2025-01-12 09:01 1.6M 
[   ]py3-pyglet-2.1.0-r0.apk2025-01-12 09:01 870K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 19:02 13K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 19:02 13K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-10-25 19:02 11K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-10-25 19:02 8.1K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 19:02 13K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 19:02 3.6K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 19:02 11K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 19:02 45K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 19:02 3.7K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 19:02 5.5K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 19:02 360K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 19:02 17K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 19:02 15K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 19:02 45K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 19:02 35K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 19:02 92K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 19:02 52K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 19:02 11K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 19:02 19K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 19:02 38K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 19:02 21K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 11:36 28K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 11:36 17K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 20:18 12K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 20:18 9.3K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 19:02 9.9K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 19:02 7.4K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 19:02 5.0K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 19:02 2.6K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 19:02 8.9K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 19:02 16K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 19:02 14K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 21:06 17K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 21:06 16K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 20:02 120K 
[   ]py3-poetry-dynamic-versioning-pyc-1.8.2-r0.apk2025-04-28 01:09 26K 
[   ]py3-poetry-dynamic-versioning-1.8.2-r0.apk2025-04-28 01:09 20K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 19:02 25K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 19:02 15K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 06:43 33K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 06:43 19K 
[   ]py3-plotly-5.24.1-r2.apk2025-04-18 22:14 20M 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 19:02 8.5K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 19:02 6.9K 
[   ]py3-piper-tts-2023.11.14.2-r13.apk2025-05-27 21:36 41K 
[   ]py3-piper-phonemize-pyc-2023.11.14.4-r8.apk2025-05-18 15:55 3.4K 
[   ]py3-piper-phonemize-2023.11.14.4-r8.apk2025-05-18 15:55 143K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 19:02 4.7K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 19:02 7.0K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 19:02 36K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 19:02 43K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-10-25 19:02 246K 
[   ]py3-pika-1.3.2-r1.apk2024-10-25 19:02 143K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 19:02 5.4K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 19:02 7.5K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 19:02 34K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 19:02 20K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 19:02 17K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 19:02 2.2K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 19:02 13K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 19:02 11K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 19:02 8.9K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 19:02 13K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 19:02 9.8K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 19:02 147K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 19:02 234K 
[   ]py3-pdal-pyc-3.4.5-r1.apk2025-06-21 21:43 13K 
[   ]py3-pdal-3.4.5-r1.apk2025-06-21 21:43 176K 
[   ]py3-pcbnewtransition-pyc-0.5.0-r0.apk2025-03-21 16:21 9.6K 
[   ]py3-pcbnewtransition-0.5.0-r0.apk2025-03-21 16:21 7.7K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 19:02 7.1K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 19:02 6.3K 
[   ]py3-pathvalidate-pyc-3.2.3-r0.apk2025-01-06 10:40 33K 
[   ]py3-pathvalidate-3.2.3-r0.apk2025-01-06 10:40 19K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 19:02 13K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 19:02 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 19:02 12K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 19:02 11K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 19:02 4.0K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 19:02 408K 
[   ]py3-owslib-pyc-0.34.0-r0.apk2025-06-08 16:55 424K 
[   ]py3-owslib-0.34.0-r0.apk2025-06-08 16:55 195K 
[   ]py3-ovos-ww-plugin-vosk-pyc-0.1.3-r0.apk2024-11-21 13:32 12K 
[   ]py3-ovos-ww-plugin-vosk-0.1.3-r0.apk2024-11-21 13:32 11K 
[   ]py3-ovos-workshop-pyc-3.4.0-r0.apk2025-05-26 12:52 166K 
[   ]py3-ovos-workshop-3.4.0-r0.apk2025-05-26 12:52 92K 
[   ]py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk2024-10-25 19:02 4.4K 
[   ]py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk2024-10-25 19:02 4.5K 
[   ]py3-ovos-utils-pyc-0.5.4-r0.apk2024-11-25 12:42 124K 
[   ]py3-ovos-utils-0.5.4-r0.apk2024-11-25 12:42 69K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 19:02 5.4K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 19:02 9.5K 
[   ]py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk2024-10-25 19:02 11K 
[   ]py3-ovos-tts-plugin-piper-0.0.1-r0.apk2024-10-25 19:02 12K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 19:02 4.1K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 19:02 8.3K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 19:02 6.8K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 19:02 10K 
[   ]py3-ovos-plugin-manager-pyc-0.9.0-r0.apk2025-06-02 03:03 181K 
[   ]py3-ovos-plugin-manager-0.9.0-r0.apk2025-06-02 03:03 94K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk2025-04-08 09:13 10K 
[   ]py3-ovos-phal-plugin-system-1.3.2-r0.apk2025-04-08 09:13 11K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 13:32 9.7K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 13:32 12K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 13:32 11K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 13:32 95K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 19:02 4.4K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 19:02 4.5K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk2024-11-21 13:32 5.0K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk2024-11-21 13:32 8.6K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk2024-10-25 19:02 3.7K 
[   ]py3-ovos-ocp-rss-plugin-0.0.3-r0.apk2024-10-25 19:02 8.3K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk2024-10-25 19:02 8.9K 
[   ]py3-ovos-ocp-news-plugin-0.0.4-r0.apk2024-10-25 19:02 11K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-25 19:02 3.4K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-25 19:02 8.1K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 19:02 104K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 19:02 47K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 19:02 86K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 19:02 550K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk2024-10-25 19:02 4.8K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk2024-10-25 19:02 9.0K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 19:02 437K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 19:02 358K 
[   ]py3-ovos-config-pyc-1.2.2-r0.apk2025-06-02 03:03 35K 
[   ]py3-ovos-config-1.2.2-r0.apk2025-06-02 03:03 46K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 19:02 164K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 19:02 103K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-04-25 10:49 89K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-04-25 10:49 50K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 19:02 91K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 19:02 46K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 19:02 8.2K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 19:02 10K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-10-25 19:02 77K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-10-25 19:02 49K 
[   ]py3-osqp-0.6.2-r6.apk2024-10-25 19:02 104K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 19:02 17K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 19:02 12K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 19:02 42K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 19:02 498K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 19:02 10K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 19:02 8.2K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-29 16:00 154K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 19:02 12K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 19:02 7.6K 
[   ]py3-onnxruntime-pyc-1.22.0-r1.apk2025-06-12 13:59 1.3M 
[   ]py3-onnxruntime-1.22.0-r1.apk2025-06-12 13:59 12M 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 19:02 243K 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 19:02 7.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 19:02 78K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 19:02 4.9M 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 02:04 28K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 02:04 21K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 19:02 8.6K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 19:02 7.4K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 19:02 32K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 19:02 21K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 19:02 5.7K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 19:02 7.6K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 19:02 9.9K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 19:02 9.4K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 19:02 25K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 19:02 20K 
[   ]py3-nikola-pyc-8.3.1-r0.apk2024-10-25 19:02 530K 
[   ]py3-nikola-doc-8.3.1-r0.apk2024-10-25 19:02 61K 
[   ]py3-nikola-8.3.1-r0.apk2024-10-25 19:02 1.2M 
[   ]py3-netmiko-pyc-4.5.0-r0.apk2025-02-22 14:39 348K 
[   ]py3-netmiko-4.5.0-r0.apk2025-02-22 14:39 179K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 19:02 9.2K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 19:02 189K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 19:02 107K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 19:02 68K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 19:02 9.9K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 19:02 9.4K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 13:11 51K 
[   ]py3-msldap-pyc-0.5.15-r1.apk2025-05-29 12:00 330K 
[   ]py3-msldap-0.5.15-r1.apk2025-05-29 12:00 143K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-25 19:02 156K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-25 19:02 94K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 19:02 8.2K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 19:02 7.4K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 19:02 36K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 19:02 25K 
[   ]py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk2024-10-25 19:02 39K 
[   ]py3-mopidy-spotify-5.0.0_alpha3-r0.apk2024-10-25 19:02 24K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 19:02 74K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 19:02 46K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-01 22:24 34K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-01 22:24 28K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 19:02 37K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 19:02 25K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-16 17:58 2.6K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-16 17:58 758K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 19:02 48K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 19:02 25K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 19:02 9.7K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 19:02 2.4K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 19:02 95K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-21 16:21 93K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-21 16:21 45K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-25 19:00 160K 
[   ]py3-minio-7.2.13-r0.apk2024-12-25 19:00 76K 
[   ]py3-minikerberos-pyc-0.4.6-r1.apk2025-05-29 12:00 268K 
[   ]py3-minikerberos-0.4.6-r1.apk2025-05-29 12:00 128K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 12:00 129K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 12:00 64K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 20:04 23K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 20:04 10K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 16:04 41K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 16:04 26K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 19:02 296K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 19:02 143K 
[   ]py3-meshtastic-2.6.0-r1.apk2025-04-14 22:02 507K 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 12:00 27K 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 12:00 949K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-22 14:39 85K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 19:02 4.5K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 19:02 5.3K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-22 14:39 48K 
[   ]py3-markdownify-pyc-1.1.0-r0.apk2025-04-18 05:47 17K 
[   ]py3-markdownify-1.1.0-r0.apk2025-04-18 05:47 15K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 19:02 75K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 19:02 47K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-11 13:12 137K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 19:02 59K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 20:15 26K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 20:15 39K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 19:02 36K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 19:02 4.2K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 19:02 22K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 19:02 123K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 19:02 190K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 19:02 2.0K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 19:02 16K 
[   ]py3-ly-pyc-0.9.8-r1.apk2024-10-25 19:02 355K 
[   ]py3-ly-doc-0.9.8-r1.apk2024-10-25 19:02 8.1K 
[   ]py3-ly-0.9.8-r1.apk2024-10-25 19:02 187K 
[   ]py3-lxmf-pyc-0.7.1-r0.apk2025-05-27 21:32 109K 
[   ]py3-lxmf-0.7.1-r0.apk2025-05-27 21:32 51K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 19:02 51K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 19:02 32K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 19:02 2.6K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 19:02 4.0K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 19:02 107K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 19:02 69K 
[   ]py3-lsp-mypy-pyc-0.7.0-r0.apk2025-02-22 14:39 13K 
[   ]py3-lsp-mypy-0.7.0-r0.apk2025-02-22 14:39 13K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-10-25 19:02 6.4K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-10-25 19:02 7.5K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-10-25 19:02 4.1K 
[   ]py3-logtop-0.7-r0.apk2024-10-25 19:02 20K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 19:02 3.1K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 19:02 4.2K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 22:22 30K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 22:22 766K 
[   ]py3-litex-pyc-2024.04-r0.apk2024-10-25 19:02 2.6M 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 19:02 112K 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 19:02 4.7M 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 19:02 2.2M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 19:02 58K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 19:02 2.4M 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 19:02 713K 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 19:02 675K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 19:02 57M 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 19:02 230K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 19:02 7.6K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 19:02 221K 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 19:02 45K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 19:02 19M 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 19:02 208K 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 19:02 112K 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 19:02 1.8M 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 19:02 10M 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 19:02 500K 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 19:02 934K 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 19:02 1.9M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 19:02 5.6M 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 19:02 1.1M 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 19:02 1.6K 
[   ]py3-litex-full-2024.04-r0.apk2024-10-25 19:02 1.6K 
[   ]py3-litex-boards-2024.04-r0.apk2024-10-25 19:02 390K 
[   ]py3-litex-2024.04-r0.apk2024-10-25 19:02 1.3M 
[   ]py3-litespi-2024.04-r0.apk2024-10-25 19:02 32K 
[   ]py3-litesdcard-2024.04-r0.apk2024-10-25 19:02 17K 
[   ]py3-litescope-2024.04-r0.apk2024-10-25 19:02 16K 
[   ]py3-litesata-2024.04-r0.apk2024-10-25 19:02 62K 
[   ]py3-litepcie-2024.04-r0.apk2024-10-25 19:02 108K 
[   ]py3-litejesd204b-2024.04-r0.apk2024-10-25 19:02 13K 
[   ]py3-liteiclink-2024.04-r0.apk2024-10-25 19:02 82K 
[   ]py3-liteeth-2024.04-r0.apk2024-10-25 19:02 103K 
[   ]py3-litedram-2024.04-r0.apk2024-10-25 19:02 176K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 21:19 22K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 21:19 14K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 19:02 23K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 19:02 21K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 19:00 71K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 19:00 33K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 19:02 25K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 19:02 34K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 09:42 18K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 09:42 12K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 19:02 30K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 19:02 20K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 19:02 33K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 19:02 28K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 21:48 50K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 21:48 30K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 19:02 13K 
[   ]py3-libguestfs-1.52.0-r3.apk2025-06-13 14:12 176K 
[   ]py3-libacl-0.7.0-r2.apk2024-10-25 19:02 25K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 19:02 9.5K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 19:02 16K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-10-25 19:02 35K 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-10-25 19:02 72K 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 20:08 3.0M 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 20:08 5.0M 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 19:02 110K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 19:02 174K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 19:02 9.5K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 19:02 8.4K 
[   ]py3-kikit-pyc-1.7.2-r0.apk2025-05-10 03:12 277K 
[   ]py3-kikit-1.7.2-r0.apk2025-05-10 03:12 239K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 19:02 17K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 19:02 13K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 19:02 2.0K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 19:02 9.0K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 19:02 245K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 19:02 125K 
[   ]py3-jupyterlab_server-2.27.3-r0.apk2025-03-21 16:21 124K 
[   ]py3-jupyterlab3-3.6.7-r0.apk2025-03-21 16:21 14M 
[   ]py3-jupyterlab-4.3.5-r0.apk2025-03-21 16:21 11M 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 19:02 9.3K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 19:02 8.3K 
[   ]py3-json5-pyc-0.9.25-r0.apk2024-11-30 21:06 29K 
[   ]py3-json5-0.9.25-r0.apk2024-11-30 21:06 25K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 19:02 6.1K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 19:02 5.9K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-11 11:19 16K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-11 11:19 10K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 22:59 8.1K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 22:59 6.7K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 19:02 9.5K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 19:02 7.6K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-17 22:14 6.1K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-17 22:14 5.3K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 04:57 13K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 04:57 12K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-14 18:16 15K 
[   ]py3-itunespy-1.6-r5.apk2025-05-14 18:16 9.7K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 19:02 5.3K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 19:02 6.0K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-25 19:02 17K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-25 19:02 13K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 20:42 13K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 20:42 11K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 19:02 9.7K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 19:02 269K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-19 14:15 67K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-19 14:15 43K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-10-25 19:02 71K 
[   ]py3-irc-20.4.1-r0.apk2024-10-25 19:02 41K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-29 16:00 4.6K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-29 16:00 12K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 19:02 15K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 19:02 9.4K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 19:02 25K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 19:02 10K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 19:02 19K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 19:02 3.7K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 19:02 4.4K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 19:02 20K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 19:02 13K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 19:02 243K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 19:02 229K 
[   ]py3-imageio-pyc-2.35.1-r0.apk2024-10-25 19:02 504K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 19:02 20K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 19:02 16K 
[   ]py3-imageio-2.35.1-r0.apk2024-10-25 19:02 287K 
[   ]py3-igraph-pyc-0.11.9-r0.apk2025-06-11 20:26 371K 
[   ]py3-igraph-dev-0.11.9-r0.apk2025-06-11 20:26 2.6K 
[   ]py3-igraph-0.11.9-r0.apk2025-06-11 20:26 403K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 19:02 3.3K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 19:02 4.6K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 19:02 22K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 19:02 162K 
[   ]py3-hishel-pyc-0.1.2-r0.apk2025-04-09 02:09 73K 
[   ]py3-hishel-0.1.2-r0.apk2025-04-09 02:09 33K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-25 20:24 12K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-25 20:24 314K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 19:02 107K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 19:02 70K 
[   ]py3-hfst-3.16.2-r0.apk2025-04-03 00:11 358K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 19:02 28K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 19:02 19K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 19:02 13K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 19:02 13K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 19:02 24K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 19:02 25K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 19:02 14K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 19:02 11K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r0.apk2024-12-07 20:33 30K 
[   ]py3-gtkspellcheck-5.0.3-r0.apk2024-12-07 20:33 45K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-14 18:16 5.8K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-14 18:16 6.7K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-25 19:02 17K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-25 19:02 15K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 19:02 11K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 19:02 9.2K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 19:02 84K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 19:02 47K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 19:02 13K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 19:02 10K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 19:02 227K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 19:02 128K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 19:02 14K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 19:02 12K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 19:02 30K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 19:02 20K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 19:02 22K 
[   ]py3-gdcm-3.0.24-r0.apk2024-10-25 19:02 661K 
[   ]py3-furl-pyc-2.1.3-r4.apk2024-10-25 19:02 32K 
[   ]py3-furl-2.1.3-r4.apk2024-10-25 19:02 21K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 19:02 161K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 19:02 89K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 19:02 40K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 19:02 9.7K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 19:02 9.0K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 19:02 7.0K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 19:02 7.9K 
[   ]py3-flask-security-pyc-5.6.1-r0.apk2025-04-21 21:40 227K 
[   ]py3-flask-security-5.6.1-r0.apk2025-04-21 21:40 295K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 19:02 59K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 19:02 40K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 19:02 20K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 19:02 115K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 07:50 6.2K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 07:50 18K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 19:02 95K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 19:02 172K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 19:02 11K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 19:02 8.2K 
[   ]py3-flask-migrate-pyc-4.0.7-r0.apk2024-10-25 19:02 18K 
[   ]py3-flask-migrate-4.0.7-r0.apk2024-10-25 19:02 13K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 19:02 3.9K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 19:02 5.6K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 19:02 26K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 19:02 16K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 19:02 7.9K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 19:02 5.6K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-19 16:39 47K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-19 16:39 27K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 19:02 3.4K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 19:02 4.1K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 19:02 11K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 19:02 8.0K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 19:02 2.5K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 19:02 3.2K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 19:02 2.8K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 19:02 3.2K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 23:00 14K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 23:00 11K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 19:02 6.3K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 19:02 86K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 19:02 3.3K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 19:02 3.9K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 19:02 4.1K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 19:02 4.8K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 19:02 18K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 19:02 13K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-14 00:10 11K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-14 00:10 449K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 19:02 5.8K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 19:02 7.1K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 19:02 4.1K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 19:02 5.3K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 19:02 4.9K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 19:02 5.1K 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 19:02 358K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 19:02 6.5M 
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-10-25 19:02 3.7K 
[   ]py3-flask-accept-0.0.6-r1.apk2024-10-25 19:02 5.0K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 19:02 2.2K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 19:02 3.6K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 19:02 3.7K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 19:02 5.3K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 19:02 4.4K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 19:02 6.7K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 19:02 5.7K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 19:02 7.1K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 19:02 5.4K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 19:02 18K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 19:02 17K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 19:02 15K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 19:02 6.0K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 19:02 6.2K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 19:02 3.3K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 19:02 18K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 21:51 7.9K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 21:51 13K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 19:02 2.6K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 19:02 5.2K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 19:02 21K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 19:02 14K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-14 18:16 33K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-14 18:16 24K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 19:02 27K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 19:02 18K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 19:02 62K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 19:02 40K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 19:02 4.2K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 19:02 38K 
[   ]py3-fastavro-pyc-1.11.1-r0.apk2025-05-19 09:25 82K 
[   ]py3-fastavro-1.11.1-r0.apk2025-05-19 09:25 444K 
[   ]py3-evohome-client-pyc-0.3.7-r4.apk2024-10-25 19:02 27K 
[   ]py3-evohome-client-0.3.7-r4.apk2024-10-25 19:02 19K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-12 04:50 336K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-12 04:50 332K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 19:02 33K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 19:02 14K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 19:02 8.3K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 19:02 2.5K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 19:02 7.6K 
[   ]py3-enzyme-pyc-0.5.1-r1.apk2025-05-14 18:16 19K 
[   ]py3-enzyme-0.5.1-r1.apk2025-05-14 18:16 23K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 19:02 58K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 19:02 39K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 19:02 2.0K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 19:02 13K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 19:02 190K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 19:02 123K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 19:02 3.6K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 19:02 27K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-13 23:31 13K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 19:02 6.3K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 19:02 9.1K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 19:02 221K 
[   ]py3-dunamai-pyc-1.24.0-r0.apk2025-05-10 03:12 43K 
[   ]py3-dunamai-1.24.0-r0.apk2025-05-10 03:12 27K 
[   ]py3-drf-yasg-pyc-1.21.7-r2.apk2024-10-25 19:02 97K 
[   ]py3-drf-yasg-1.21.7-r2.apk2024-10-25 19:02 4.1M 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 19:02 18K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 19:02 17K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-14 18:16 14K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-14 18:16 11K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 19:02 8.7K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 19:02 8.4K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 19:02 34K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 19:02 25K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 19:02 133K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 19:02 77K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-13 21:31 4.7K 
[   ]py3-doi-0.2-r0.apk2025-04-13 21:31 6.2K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-14 18:16 90K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-14 18:16 53K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-10-25 19:02 109K 
[   ]py3-dnslib-0.9.25-r0.apk2024-10-25 19:02 52K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 19:02 5.0K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 19:02 4.0K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 19:02 32K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 19:02 366K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 19:02 15K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 19:02 15K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 19:02 49K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 19:02 46K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-10-25 19:02 13K 
[   ]py3-discid-1.2.0-r6.apk2024-10-25 19:02 24K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 19:02 37K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 19:02 22K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 19:02 20K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 19:02 2.3K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 19:02 13K 
[   ]py3-dbus-fast-pyc-2.44.1-r0.apk2025-05-02 05:28 129K 
[   ]py3-dbus-fast-doc-2.44.1-r0.apk2025-05-02 05:28 6.2K 
[   ]py3-dbus-fast-2.44.1-r0.apk2025-05-02 05:28 856K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 19:02 4.2K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 19:02 4.4K 
[   ]py3-dateparser-pyc-1.2.0-r0.apk2024-11-23 04:26 334K 
[   ]py3-dateparser-1.2.0-r0.apk2024-11-23 04:26 197K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 19:02 14K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 19:02 11K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 19:02 36K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 19:02 27K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-13 21:31 16K 
[   ]py3-dash-2.18.2-r0.apk2025-04-13 21:31 7.4M 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 19:02 1.8K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 19:02 17K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 19:02 936K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 19:02 670K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 20:13 10K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 20:13 8.7K 
[   ]py3-cstruct-pyc-5.3-r1.apk2024-10-25 19:02 36K 
[   ]py3-cstruct-5.3-r1.apk2024-10-25 19:02 22K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 19:02 279K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 19:02 155K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-10 03:12 1.9K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-10 03:12 178K 
[   ]py3-criu-pyc-3.19-r3.apk2025-06-12 13:59 72K 
[   ]py3-criu-3.19-r3.apk2025-06-12 13:59 49K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 19:02 15K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 19:02 41K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 19:02 4.7K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 19:02 12K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 19:02 43K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 19:02 22K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 19:02 47K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 19:02 3.7K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 19:02 35K 
[   ]py3-confluent-kafka-pyc-2.9.0-r0.apk2025-05-15 09:51 227K 
[   ]py3-confluent-kafka-2.9.0-r0.apk2025-05-15 09:51 183K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 19:02 40K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 19:02 3.0K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 19:02 23K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 19:02 7.5K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 19:02 8.5K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 19:02 10K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 19:02 7.3K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 19:02 42K 
[   ]py3-colander-2.0-r2.apk2024-10-25 19:02 62K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 19:02 12K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 19:02 19K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 19:02 223K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 19:02 139K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 19:02 9.8K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 19:02 8.0K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 19:02 7.9K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 19:02 6.3K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 19:02 4.5K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 19:02 5.1K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 19:02 14K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 19:02 11K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 19:02 8.7K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 19:02 6.1K 
[   ]py3-clang-next-pyc-21.0.0_pre20250617-r0.apk2025-06-18 06:46 60K 
[   ]py3-clang-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 34K 
[   ]py3-cjkwrap-pyc-2.2-r6.apk2025-05-14 19:18 5.3K 
[   ]py3-cjkwrap-2.2-r6.apk2025-05-14 19:18 4.5K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-10-25 19:02 15K 
[   ]py3-chameleon-pyc-4.5.4-r0.apk2024-10-25 19:02 131K 
[   ]py3-chameleon-4.5.4-r0.apk2024-10-25 19:02 97K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 19:02 9.1K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 19:02 8.7K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-20 21:15 43K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-20 21:15 98K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 19:02 3.1K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 19:02 122K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 19:02 103K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 19:02 57K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 19:02 560K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 19:02 286K 
[   ]py3-caldav-pyc-2.0.1-r0.apk2025-06-26 14:06 118K 
[   ]py3-caldav-2.0.1-r0.apk2025-06-26 14:06 88K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 19:02 54K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 19:02 32K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 19:02 16K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 19:02 11K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 19:02 19K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 19:02 12K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 19:02 4.3K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 19:02 4.1K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 19:02 3.1K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 19:02 4.6K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 19:02 5.2K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 19:02 4.7K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 19:02 5.6K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 19:02 4.9K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 19:02 7.8K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 19:02 10K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 19:02 5.2K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 19:02 6.1K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 19:02 2.6K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 19:02 3.2K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 19:02 3.7K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 19:02 4.0K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 19:02 3.1K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 19:02 3.3K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 19:02 4.3K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 19:02 5.2K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 19:02 4.9K 
[   ]py3-bookkeeper-pyc-4.17.1-r0.apk2024-10-25 19:02 67K 
[   ]py3-bookkeeper-4.17.1-r0.apk2024-10-25 19:02 43K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 17:03 2.5M 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 17:03 149K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 17:03 68K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 19:02 18K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 19:02 11K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 19:02 370K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 19:02 13K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 19:02 34K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 21:51 23K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 21:51 14K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 19:02 29K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 19:02 28K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-19 14:15 49K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-19 14:15 40K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 19:02 10K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 19:02 17K 
[   ]py3-beartype-pyc-0.21.0-r0.apk2025-05-25 13:46 673K 
[   ]py3-beartype-0.21.0-r0.apk2025-05-25 13:46 926K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 19:02 11K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 19:02 4.3K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 19:02 16K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 19:02 69K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 19:02 46K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 19:02 7.2K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 19:02 6.9K 
[   ]py3-b2sdk-pyc-2.8.1-r0.apk2025-05-03 10:05 403K 
[   ]py3-b2sdk-2.8.1-r0.apk2025-05-03 10:05 215K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 19:02 191K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 19:02 98K 
[   ]py3-asysocks-pyc-0.2.13-r1.apk2025-05-29 12:00 229K 
[   ]py3-asysocks-0.2.13-r1.apk2025-05-29 12:00 84K 
[   ]py3-async-lru-pyc-2.0.5-r0.apk2025-03-21 16:21 8.5K 
[   ]py3-async-lru-2.0.5-r0.apk2025-03-21 16:21 7.0K 
[   ]py3-asyauth-pyc-0.0.21-r1.apk2025-05-29 12:00 169K 
[   ]py3-asyauth-0.0.21-r1.apk2025-05-29 12:00 77K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 19:02 59K 
[   ]py3-astral-3.2-r3.apk2024-10-25 19:02 37K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 19:02 4.5K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 19:02 5.0K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 19:02 26K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 19:02 13K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-12 13:59 84K 
[   ]py3-apsw-pyc-3.49.1.0-r0.apk2025-02-25 06:38 526K 
[   ]py3-apsw-3.49.1.0-r0.apk2025-02-25 06:38 810K 
[   ]py3-apk3-3.0.0_rc4_git20250421-r0.apk2025-05-14 00:10 3.9K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 19:02 77K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 19:02 72K 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 19:02 179K 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 19:02 8.5M 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 19:02 3.3K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 19:02 275K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 19:02 22K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 19:02 18K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 19:02 29K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 19:02 21K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 19:02 3.3K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 19:02 3.6K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 19:02 673K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 19:02 18K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 19:02 388K 
[   ]py3-aiowinreg-pyc-0.0.12-r1.apk2025-05-29 12:00 45K 
[   ]py3-aiowinreg-0.0.12-r1.apk2025-05-29 12:00 22K 
[   ]py3-aiosmb-pyc-0.4.11-r1.apk2025-05-29 12:00 1.1M 
[   ]py3-aiosmb-0.4.11-r1.apk2025-05-29 12:00 597K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 19:02 24K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 19:02 16K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 19:02 30K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 19:02 19K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 19:02 21K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 19:02 15K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 19:02 10K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 12:28 19K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 12:28 10K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 19:02 9.2K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 19:02 12K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 19:02 51K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 19:02 446K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 19:02 60K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 19:02 29K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-21 16:21 21K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-21 16:21 19K 
[   ]py3-aesedb-pyc-0.1.6-r3.apk2025-05-29 12:00 75K 
[   ]py3-aesedb-0.1.6-r3.apk2025-05-29 12:00 36K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 19:02 21K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 19:02 17K 
[   ]py-spy-zsh-completion-0.3.14-r3.apk2024-10-25 19:02 3.1K 
[   ]py-spy-fish-completion-0.3.14-r3.apk2024-10-25 19:02 2.6K 
[   ]py-spy-doc-0.3.14-r3.apk2024-10-25 19:02 2.3K 
[   ]py-spy-bash-completion-0.3.14-r3.apk2024-10-25 19:02 2.4K 
[   ]py-spy-0.3.14-r3.apk2024-10-25 19:02 1.0M 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 19:02 2.9K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 19:02 2.9K 
[   ]pwru-1.0.7-r5.apk2025-05-14 00:10 3.4M 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 19:02 6.8K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 19:02 3.8K 
[   ]pw-volume-0.5.0-r1.apk2024-10-25 19:02 322K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 19:02 227K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 19:02 78K 
[   ]pure-data-libs-0.54.1-r0.apk2024-10-25 19:02 621K 
[   ]pure-data-doc-0.54.1-r0.apk2024-10-25 19:02 1.9M 
[   ]pure-data-dev-0.54.1-r0.apk2024-10-25 19:02 50K 
[   ]pure-data-0.54.1-r0.apk2024-10-25 19:02 1.7M 
[   ]pulumi-watch-0.1.5-r2.apk2024-10-25 19:02 815K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 19:02 3.7K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 19:02 942K 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-12 13:59 64K 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-12 13:59 1.4M 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 19:02 1.7K 
[   ]pully-1.0.0-r0.apk2024-10-25 19:02 2.5K 
[   ]ptylie-doc-0.2-r2.apk2025-05-14 00:10 3.2K 
[   ]ptylie-0.2-r2.apk2025-05-14 00:10 11K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 19:02 2.4K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 19:02 20K 
[   ]ptpd-2.3.1-r1.apk2024-10-25 19:02 172K 
[   ]ptcpdump-0.30.0-r5.apk2025-05-14 00:10 11M 
[   ]psst-0_git20240526-r1.apk2024-10-25 19:02 7.7M 
[   ]pspp-doc-2.0.1-r0.apk2024-10-25 19:02 9.0K 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-25 19:02 4.3M 
[   ]pspp-2.0.1-r0.apk2024-10-25 19:02 9.9M 
[   ]psi-plus-plugins-1.5.1965-r1.apk2025-05-14 00:10 1.7M 
[   ]psi-plus-1.5.1965-r1.apk2025-05-14 00:10 8.6M 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 19:02 11K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 19:02 60K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 19:02 78K 
[   ]psftools-1.1.2-r0.apk2024-10-25 19:02 190K 
[   ]prowlarr-openrc-1.37.0.5076-r0.apk2025-06-13 13:53 2.0K 
[   ]prowlarr-1.37.0.5076-r0.apk2025-06-13 13:53 20M 
[   ]protoconf-0.1.7-r13.apk2025-05-14 00:10 7.9M 
[   ]protoc-gen-js-3.21.4-r1.apk2024-10-25 19:02 1.5M 
[   ]protoc-gen-go-1.36.6-r0.apk2025-05-16 08:40 2.3M 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 19:02 1.5K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 19:02 2.6K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 19:02 2.7K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 19:02 8.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 19:02 2.8K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 19:02 1.6K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 19:02 1.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 19:02 2.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 19:02 104K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 19:02 3.7K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 19:02 6.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 19:02 5.6K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 19:02 5.9K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 19:02 2.2K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 19:02 1.8K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 19:02 2.9K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 19:02 2.8K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 19:02 3.3K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 19:02 7.2K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 19:02 2.0K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 19:02 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 19:02 3.1K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 19:02 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 19:02 2.6K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 19:02 1.8K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 19:02 2.8K 
[   ]proot-static-5.4.0-r1.apk2024-10-25 19:02 111K 
[   ]proot-doc-5.4.0-r1.apk2024-10-25 19:02 10K 
[   ]proot-5.4.0-r1.apk2024-10-25 19:02 75K 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-14 00:10 2.0K 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-14 00:10 3.8M 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r0.apk2025-05-14 06:52 1.9K 
[   ]prometheus-smartctl-exporter-0.14.0-r0.apk2025-05-14 06:52 4.8M 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r28.apk2025-05-14 00:10 1.7K 
[   ]prometheus-rethinkdb-exporter-1.0.1-r28.apk2025-05-14 00:10 4.3M 
[   ]prometheus-podman-exporter-1.17.0-r0.apk2025-06-17 20:19 16M 
[   ]prometheus-opnsense-exporter-openrc-0.0.8-r0.apk2025-05-14 06:26 2.1K 
[   ]prometheus-opnsense-exporter-0.0.8-r0.apk2025-05-14 06:26 5.0M 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r5.apk2025-05-14 00:10 1.9K 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r5.apk2025-05-14 00:10 6.6K 
[   ]prometheus-ipmi-exporter-1.8.0-r5.apk2025-05-14 00:10 4.5M 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 19:02 5.0K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 19:02 316K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 19:02 2.0K 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 19:02 402K 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 19:02 4.3M 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 19:02 606K 
[   ]projectm-3.1.12-r2.apk2024-10-25 19:02 433K 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 19:02 748K 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 19:02 1.1M 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 19:02 1.0M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 19:02 39K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 19:02 2.1M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 19:02 3.3K 
[   ]prjtrellis-1.4-r2.apk2024-10-25 19:02 1.2M 
[   ]primesieve-libs-12.8-r0.apk2025-04-29 21:54 116K 
[   ]primesieve-doc-12.8-r0.apk2025-04-29 21:54 4.1K 
[   ]primesieve-dev-12.8-r0.apk2025-04-29 21:54 1.3M 
[   ]primesieve-12.8-r0.apk2025-04-29 21:54 43K 
[   ]primecount-libs-7.17-r0.apk2025-05-16 14:32 167K 
[   ]primecount-doc-7.17-r0.apk2025-05-16 14:32 3.9K 
[   ]primecount-dev-7.17-r0.apk2025-05-16 14:32 2.4M 
[   ]primecount-7.17-r0.apk2025-05-16 14:32 29K 
[   ]predict-doc-2.3.1-r0.apk2024-11-24 10:45 16K 
[   ]predict-2.3.1-r0.apk2024-11-24 10:45 100K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 19:02 12K 
[   ]pqiv-2.12-r1.apk2024-10-25 19:02 67K 
[   ]pptpclient-doc-1.10.0-r5.apk2024-10-25 19:02 7.2K 
[   ]pptpclient-1.10.0-r5.apk2024-10-25 19:02 32K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 19:02 4.3K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 19:02 2.3K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 19:02 20K 
[   ]powerctl-doc-1.1-r6.apk2025-04-18 16:34 3.2K 
[   ]powerctl-1.1-r6.apk2025-04-18 16:34 90K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 19:02 816K 
[   ]pounce-openrc-3.1-r3.apk2024-10-25 19:02 2.9K 
[   ]pounce-doc-3.1-r3.apk2024-10-25 19:02 8.6K 
[   ]pounce-3.1-r3.apk2024-10-25 19:02 28K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 19:02 70K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 19:02 260K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 19:02 53K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 19:02 23K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-25 19:02 610K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2024-10-25 19:02 56K 
[   ]postgresql-hll-2.18-r0.apk2024-10-25 19:02 26K 
[   ]portsmf-dev-239-r1.apk2024-10-25 19:02 20K 
[   ]portsmf-239-r1.apk2024-10-25 19:02 56K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 17:12 2.7K 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 17:12 2.2K 
[   ]porla-0.41.0-r2.apk2025-02-24 17:12 3.7M 
[   ]popeye-0.22.1-r4.apk2025-05-14 00:10 29M 
[   ]pop-launcher-1.2.4-r0.apk2025-03-11 11:19 2.5M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-25 07:55 1.3M 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-25 07:55 13M 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 19:02 2.4K 
[   ]pomo-doc-0.8.1-r23.apk2025-05-14 00:10 2.7K 
[   ]pomo-0.8.1-r23.apk2025-05-14 00:10 1.7M 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 19:02 48K 
[   ]polyglot-2.0.4-r1.apk2024-10-25 19:02 64K 
[   ]polycule-0.2.5_git20250604-r0.apk2025-06-12 22:33 29M 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 19:02 105K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 19:02 22K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 19:02 2.4K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 19:02 54K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 19:02 3.0K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 19:02 11K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 19:02 25K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 19:02 2.3K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 19:02 141K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 19:02 7.1K 
[   ]pmccabe-2.8-r1.apk2024-10-25 19:02 23K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 19:02 200K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 19:02 311K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 19:02 59K 
[   ]plplot-5.15.0-r2.apk2024-10-25 19:02 31K 
[   ]plots-0.7.0-r1.apk2024-11-06 09:41 516K 
[   ]plib-1.8.5-r3.apk2024-10-25 19:02 849K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 03:47 42K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 03:47 35K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 03:47 6.5K 
[   ]plfit-1.0.1-r0.apk2025-01-04 03:47 47K 
[   ]please-doc-0.5.5-r0.apk2024-10-25 19:02 16K 
[   ]please-build-zsh-completion-17.17.0-r0.apk2025-05-16 08:59 1.9K 
[   ]please-build-tools-17.17.0-r0.apk2025-05-16 08:59 11M 
[   ]please-build-bash-completion-17.17.0-r0.apk2025-05-16 08:59 1.9K 
[   ]please-build-17.17.0-r0.apk2025-05-16 08:59 7.6M 
[   ]please-0.5.5-r0.apk2024-10-25 19:02 1.0M 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-25 19:02 552K 
[   ]platformio-core-6.1.7-r3.apk2024-10-25 19:02 263K 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 21:48 825K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 21:48 2.2K 
[   ]planner-0.14.92-r1.apk2024-12-08 21:48 345K 
[   ]planarity-libs-4.0.0.0-r0.apk2025-03-16 10:06 71K 
[   ]planarity-doc-4.0.0.0-r0.apk2025-03-16 10:06 13K 
[   ]planarity-dev-4.0.0.0-r0.apk2025-03-16 10:06 23K 
[   ]planarity-4.0.0.0-r0.apk2025-03-16 10:06 22K 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-14 00:10 3.4K 
[   ]pixiewps-1.4.2-r2.apk2025-05-14 00:10 51K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-10-25 19:02 10K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-10-25 19:02 10K 
[   ]pixi-doc-0.24.2-r0.apk2024-10-25 19:02 6.9K 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-10-25 19:02 7.2K 
[   ]pixi-0.24.2-r0.apk2024-10-25 19:02 8.8M 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-23 03:30 700K 
[   ]pitivi-lang-2023.03-r2.apk2024-12-23 03:30 678K 
[   ]pitivi-2023.03-r2.apk2024-12-23 03:30 2.7M 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 19:02 154K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 19:02 2.1K 
[   ]pithos-1.6.1-r0.apk2024-10-25 19:02 104K 
[   ]piping-server-openrc-0.18.0-r0.apk2024-10-25 19:02 1.8K 
[   ]piping-server-0.18.0-r0.apk2024-10-25 19:02 1.5M 
[   ]piper-tts-dev-2023.11.14.2-r13.apk2025-05-27 21:36 141K 
[   ]piper-tts-2023.11.14.2-r13.apk2025-05-27 21:36 131K 
[   ]piper-phonemize-libs-2023.11.14.4-r8.apk2025-05-18 15:55 68K 
[   ]piper-phonemize-dev-2023.11.14.4-r8.apk2025-05-18 15:55 394K 
[   ]piper-phonemize-2023.11.14.4-r8.apk2025-05-18 15:55 9.0M 
[   ]pipectl-doc-0.4.1-r1.apk2024-10-25 19:02 3.0K 
[   ]pipectl-0.4.1-r1.apk2024-10-25 19:02 5.9K 
[   ]pinentry-bemenu-0.14.0-r0.apk2025-02-22 14:39 8.1K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 19:02 1.6K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 19:02 35K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 19:02 1.9K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 19:02 20K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 19:02 53K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 19:02 86K 
[   ]piler-openrc-1.4.7-r0.apk2025-01-16 10:17 2.2K 
[   ]piler-1.4.7-r0.apk2025-01-16 10:17 2.1M 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-20 18:05 1.8K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-20 18:05 3.8K 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-20 18:05 2.2K 
[   ]pihole-6.2.3-r0.apk2025-06-20 18:05 5.6M 
[   ]piglit-0_git20241106-r1.apk2025-05-14 00:10 89M 
[   ]pidif-0.1-r1.apk2024-10-25 19:02 159K 
[   ]pict-rs-openrc-0.5.19-r0.apk2025-05-19 09:19 1.9K 
[   ]pict-rs-0.5.19-r0.apk2025-05-19 09:19 5.9M 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 19:02 3.3K 
[   ]pick-4.0.0-r0.apk2024-10-25 19:02 9.9K 
[   ]phpactor-2025.04.17.0-r0.apk2025-05-30 14:55 3.5M 
[   ]php84-snappy-0.2.3-r0.apk2025-04-10 12:16 5.0K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 12:47 49K 
[   ]php84-pecl-solr-2.8.1-r0.apk2025-04-23 04:36 88K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-14 00:10 40K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 19:02 34K 
[   ]php84-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 13K 
[   ]php84-pecl-ev-1.2.0-r1.apk2024-10-25 19:02 40K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 16:30 9.7K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 19:02 30K 
[   ]php83-pecl-vld-0.18.0-r1.apk2024-10-25 19:02 15K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 19:02 49K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-14 00:10 40K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 19:02 34K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:02 10K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 19K 
[   ]php83-pecl-ev-1.2.0-r0.apk2024-10-25 19:02 40K 
[   ]php83-pecl-eio-3.1.3-r0.apk2024-10-25 19:02 28K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 19:02 4.3K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-10 12:16 5.0K 
[   ]php82-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:30 61K 
[   ]php82-pecl-vld-0.18.0-r0.apk2024-10-25 19:02 17K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 19:02 123K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 19:02 29K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 19:02 34K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:02 10K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 19:02 40K 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 19K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 19:02 4.3K 
[   ]php82-pdlib-1.1.0-r1.apk2024-10-25 19:02 483K 
[   ]php81-zip-8.1.32-r1.apk2025-04-03 00:11 24K 
[   ]php81-xsl-8.1.32-r1.apk2025-04-03 00:11 12K 
[   ]php81-xmlwriter-8.1.32-r1.apk2025-04-03 00:11 11K 
[   ]php81-xmlreader-8.1.32-r1.apk2025-04-03 00:11 13K 
[   ]php81-xml-8.1.32-r1.apk2025-04-03 00:11 18K 
[   ]php81-tokenizer-8.1.32-r1.apk2025-04-03 00:11 11K 
[   ]php81-tidy-8.1.32-r1.apk2025-04-03 00:11 18K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 19:02 13K 
[   ]php81-sysvshm-8.1.32-r1.apk2025-04-03 00:11 6.4K 
[   ]php81-sysvsem-8.1.32-r1.apk2025-04-03 00:11 5.5K 
[   ]php81-sysvmsg-8.1.32-r1.apk2025-04-03 00:11 7.3K 
[   ]php81-sqlite3-8.1.32-r1.apk2025-04-03 00:11 20K 
[   ]php81-spx-0.4.18-r0.apk2025-01-05 20:14 106K 
[   ]php81-sodium-8.1.32-r1.apk2025-04-03 00:11 25K 
[   ]php81-sockets-8.1.32-r1.apk2025-04-03 00:11 34K 
[   ]php81-soap-8.1.32-r1.apk2025-04-03 00:11 133K 
[   ]php81-snmp-8.1.32-r1.apk2025-04-03 00:11 20K 
[   ]php81-simplexml-8.1.32-r1.apk2025-04-03 00:11 22K 
[   ]php81-shmop-8.1.32-r1.apk2025-04-03 00:11 5.8K 
[   ]php81-session-8.1.32-r1.apk2025-04-03 00:11 35K 
[   ]php81-pspell-8.1.32-r1.apk2025-04-03 00:11 7.8K 
[   ]php81-posix-8.1.32-r1.apk2025-04-03 00:11 11K 
[   ]php81-phpdbg-8.1.32-r1.apk2025-04-03 00:11 1.8M 
[   ]php81-phar-8.1.32-r1.apk2025-04-03 00:11 120K 
[   ]php81-pgsql-8.1.32-r1.apk2025-04-03 00:11 43K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 14:44 14K 
[   ]php81-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:30 61K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-25 19:02 18K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 19:02 35K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 01:44 222K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 19:02 801K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 19:02 12K 
[   ]php81-pecl-xdebug-3.4.4-r0.apk2025-06-15 03:57 144K 
[   ]php81-pecl-vips-1.0.13-r0.apk2024-10-25 19:02 16K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-14 00:10 6.3K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 19:02 9.9K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 19:02 6.4K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 11:06 189K 
[   ]php81-pecl-swoole-dev-6.0.2-r0.apk2025-03-26 18:30 213K 
[   ]php81-pecl-swoole-6.0.2-r0.apk2025-03-26 18:30 881K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 19:02 27K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 19:02 20K 
[   ]php81-pecl-redis-6.2.0-r0.apk2025-03-27 10:58 188K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 12:52 35K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 19:02 17K 
[   ]php81-pecl-protobuf-4.30.0-r0.apk2025-03-05 18:38 139K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 17:17 9.1K 
[   ]php81-pecl-opentelemetry-1.1.3-r0.apk2025-05-15 21:26 12K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 19:02 34K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 19:02 26K 
[   ]php81-pecl-mongodb-2.1.1-r0.apk2025-06-15 03:57 813K 
[   ]php81-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 13K 
[   ]php81-pecl-memcached-3.3.0-r0.apk2024-10-25 19:02 45K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 19:02 41K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-10-25 19:02 14K 
[   ]php81-pecl-maxminddb-1.12.1-r0.apk2025-05-10 03:12 7.8K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-25 19:02 23K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 19:02 7.0K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 19:02 29K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:02 10K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 19:02 40K 
[   ]php81-pecl-imagick-dev-3.8.0-r0.apk2025-04-10 20:02 2.3K 
[   ]php81-pecl-imagick-3.8.0-r0.apk2025-04-10 20:02 102K 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 19:02 33K 
[   ]php81-pecl-grpc-1.72.0-r0.apk2025-04-28 23:24 4.7M 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 19:02 48K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-10 03:12 55K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 19:02 17K 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 16:30 9.8K 
[   ]php81-pecl-couchbase-4.3.0-r0.apk2025-06-15 03:57 5.1M 
[   ]php81-pecl-brotli-0.18.0-r0.apk2025-06-17 19:45 14K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-10-25 19:02 19K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-10-25 19:02 54K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 19:02 53K 
[   ]php81-pear-8.1.32-r1.apk2025-04-03 00:11 338K 
[   ]php81-pdo_sqlite-8.1.32-r1.apk2025-04-03 00:11 12K 
[   ]php81-pdo_pgsql-8.1.32-r1.apk2025-04-03 00:11 18K 
[   ]php81-pdo_odbc-8.1.32-r1.apk2025-04-03 00:11 12K 
[   ]php81-pdo_mysql-8.1.32-r1.apk2025-04-03 00:11 13K 
[   ]php81-pdo_dblib-8.1.32-r1.apk2025-04-03 00:11 12K 
[   ]php81-pdo-8.1.32-r1.apk2025-04-03 00:11 40K 
[   ]php81-pcntl-8.1.32-r1.apk2025-04-03 00:11 13K 
[   ]php81-openssl-8.1.32-r1.apk2025-04-03 00:11 70K 
[   ]php81-opcache-8.1.32-r1.apk2025-04-03 00:11 367K 
[   ]php81-odbc-8.1.32-r1.apk2025-04-03 00:11 23K 
[   ]php81-mysqlnd-8.1.32-r1.apk2025-04-03 00:11 77K 
[   ]php81-mysqli-8.1.32-r1.apk2025-04-03 00:11 39K 
[   ]php81-mbstring-8.1.32-r1.apk2025-04-03 00:11 568K 
[   ]php81-litespeed-8.1.32-r1.apk2025-04-03 00:11 1.8M 
[   ]php81-ldap-8.1.32-r1.apk2025-04-03 00:11 30K 
[   ]php81-intl-8.1.32-r1.apk2025-04-03 00:11 133K 
[   ]php81-imap-8.1.32-r1.apk2025-04-03 00:11 32K 
[   ]php81-iconv-8.1.32-r1.apk2025-04-03 00:11 17K 
[   ]php81-gmp-8.1.32-r1.apk2025-04-03 00:11 20K 
[   ]php81-gettext-8.1.32-r1.apk2025-04-03 00:11 5.6K 
[   ]php81-gd-8.1.32-r1.apk2025-04-03 00:11 123K 
[   ]php81-ftp-8.1.32-r1.apk2025-04-03 00:11 22K 
[   ]php81-fpm-8.1.32-r1.apk2025-04-03 00:11 1.8M 
[   ]php81-fileinfo-8.1.32-r1.apk2025-04-03 00:11 378K 
[   ]php81-ffi-8.1.32-r1.apk2025-04-03 00:11 66K 
[   ]php81-exif-8.1.32-r1.apk2025-04-03 00:11 29K 
[   ]php81-enchant-8.1.32-r1.apk2025-04-03 00:11 8.2K 
[   ]php81-embed-8.1.32-r1.apk2025-04-03 00:11 1.7M 
[   ]php81-dom-8.1.32-r1.apk2025-04-03 00:11 59K 
[   ]php81-doc-8.1.32-r1.apk2025-04-03 00:11 68K 
[   ]php81-dev-8.1.32-r1.apk2025-04-03 00:11 939K 
[   ]php81-dba-8.1.32-r1.apk2025-04-03 00:11 22K 
[   ]php81-curl-8.1.32-r1.apk2025-04-03 00:11 33K 
[   ]php81-ctype-8.1.32-r1.apk2025-04-03 00:11 4.8K 
[   ]php81-common-8.1.32-r1.apk2025-04-03 00:11 25K 
[   ]php81-cgi-8.1.32-r1.apk2025-04-03 00:11 1.7M 
[   ]php81-calendar-8.1.32-r1.apk2025-04-03 00:11 12K 
[   ]php81-bz2-8.1.32-r1.apk2025-04-03 00:11 9.6K 
[   ]php81-bcmath-8.1.32-r1.apk2025-04-03 00:11 17K 
[   ]php81-apache2-8.1.32-r1.apk2025-04-03 00:11 1.7M 
[   ]php81-8.1.32-r1.apk2025-04-03 00:11 1.8M 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 19:02 287K 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 19:02 1.8K 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 19:02 3.9M 
[   ]pgcat-openrc-1.2.0-r1.apk2025-01-01 17:38 1.9K 
[   ]pgcat-1.2.0-r1.apk2025-01-01 17:38 2.6M 
[   ]pfetch-doc-1.9.0-r0.apk2025-04-10 13:52 5.7K 
[   ]pfetch-1.9.0-r0.apk2025-04-10 13:52 23K 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 19:02 1.0M 
[   ]persistent-cache-cpp-doc-1.0.7-r4.apk2025-02-22 14:39 3.1K 
[   ]persistent-cache-cpp-dev-1.0.7-r4.apk2025-02-22 14:39 18K 
[   ]persistent-cache-cpp-1.0.7-r4.apk2025-02-22 14:39 44K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 19:02 18K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 19:02 44K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 19:02 4.9K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 19:02 5.7K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 19:02 5.4K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 19:02 5.0K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 19:02 12K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 19:02 14K 
[   ]perl-xml-bare-doc-0.53-r13.apk2024-10-25 19:02 11K 
[   ]perl-xml-bare-0.53-r13.apk2024-10-25 19:02 28K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 19:02 16K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 19:02 20K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 19:02 7.6K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 19:02 6.9K 
[   ]perl-www-noss-doc-1.02-r0.apk2025-06-25 13:56 39K 
[   ]perl-www-noss-1.02-r0.apk2025-06-25 13:56 39K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-13 18:17 29K 
[   ]perl-web-machine-0.17-r0.apk2025-06-13 18:17 20K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 19:02 5.7K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 19:02 3.3K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 19:02 4.7K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 19:02 5.2K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-21 16:21 4.3K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-21 16:21 2.3K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 19:02 5.0K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 19:02 2.7K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 19:02 4.6K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 19:02 3.2K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 19:02 3.9K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-23 07:58 9.1K 
[   ]perl-uri-find-20160806-r0.apk2025-03-23 07:58 14K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 19:02 7.5K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 19:02 7.1K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 05:27 8.4K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 05:27 11K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 19:02 4.1K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-21 16:21 46K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-21 16:21 20K 
[   ]perl-time-timegm-doc-0.01-r9.apk2024-10-25 19:02 3.8K 
[   ]perl-time-timegm-0.01-r9.apk2024-10-25 19:02 6.5K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 19:02 3.6K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 19:02 2.7K 
[   ]perl-time-moment-doc-0.44-r0.apk2024-10-25 19:02 31K 
[   ]perl-time-moment-0.44-r0.apk2024-10-25 19:02 38K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-21 16:21 3.2K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-21 16:21 2.6K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 19:02 6.5K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 19:02 8.0K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 19:02 6.9K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 19:02 7.2K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 19:02 4.2K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 19:02 4.7K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 19:02 3.8K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 19:02 4.6K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 19:02 3.9K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 19:02 8.0K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 19:02 6.2K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-10 00:53 14K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-10 00:53 10K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 19:02 5.2K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 19:02 5.4K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 19:02 6.7K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 19:02 8.1K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-21 16:21 3.5K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-21 16:21 4.5K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 02:00 3.0K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 02:00 13K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 19:02 4.1K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 19:02 4.5K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 19:02 4.4K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 19:02 3.8K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-13 21:31 10K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 04:32 6.2K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 04:32 7.4K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-13 21:31 15K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 15:17 4.9K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 15:17 5.6K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 19:02 3.9K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 19:02 3.8K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 19:02 48K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 19:02 37K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 19:02 20K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 19:02 20K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 19:02 6.2K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 19:02 9.9K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 19:02 8.4K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 19:02 8.9K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 19:02 6.0K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 19:02 4.9K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 19:02 15K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 19:02 12K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 19:02 4.4K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 19:02 4.8K 
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-10-25 19:02 4.1K 
[   ]perl-test-redisserver-0.23-r0.apk2024-10-25 19:02 5.0K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 19:02 3.7K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 19:02 3.5K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 19:02 9.9K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 19:02 15K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 19:02 5.3K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 19:02 6.4K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 19:02 8.3K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 19:02 9.8K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 19:02 15K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 19:02 6.7K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 17:54 6.8K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 17:54 11K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-04-21 17:35 3.6K 
[   ]perl-test-expect-0.34-r0.apk2025-04-21 17:35 3.5K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 19:02 20K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 19:02 7.1K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 19:02 6.1K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 19:02 7.8K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 19:02 4.2K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 19:02 3.6K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 19:02 5.4K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 19:02 5.9K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 19:02 4.3K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 19:02 5.1K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 19:02 8.5K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 19:02 10K 
[   ]perl-term-size-doc-0.211-r4.apk2024-10-25 19:02 3.7K 
[   ]perl-term-size-0.211-r4.apk2024-10-25 19:02 5.6K 
[   ]perl-template-tiny-doc-1.15-r0.apk2025-06-17 04:27 5.0K 
[   ]perl-template-tiny-1.15-r0.apk2025-06-17 04:27 5.5K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-13 21:31 3.7K 
[   ]perl-template-timer-1.00-r0.apk2025-04-13 21:31 3.4K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 19:02 4.4K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 19:02 4.9K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 19:02 3.0K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 19:02 2.7K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 04:47 3.7K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 04:47 2.9K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 19:02 10K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 19:02 12K 
[   ]perl-sys-virt-doc-11.1.0-r0.apk2025-03-21 16:21 99K 
[   ]perl-sys-virt-11.1.0-r0.apk2025-03-21 16:21 198K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 19:02 3.7K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 19:02 5.3K 
[   ]perl-syntax-operator-in-doc-0.10-r0.apk2024-10-25 19:02 5.8K 
[   ]perl-syntax-operator-in-0.10-r0.apk2024-10-25 19:02 9.4K 
[   ]perl-syntax-operator-equ-doc-0.10-r0.apk2024-10-25 19:02 6.5K 
[   ]perl-syntax-operator-equ-0.10-r0.apk2024-10-25 19:02 7.9K 
[   ]perl-syntax-keyword-match-doc-0.15-r0.apk2024-10-25 19:02 7.8K 
[   ]perl-syntax-keyword-match-0.15-r0.apk2024-10-25 19:02 14K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 12:06 5.6K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 12:06 5.4K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 19:02 6.2K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 19:02 7.9K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-04-03 00:11 7.8K 
[   ]perl-string-escape-2010.002-r0.apk2025-04-03 00:11 8.9K 
[   ]perl-string-crc32-doc-2.100-r4.apk2024-10-25 19:02 3.4K 
[   ]perl-string-crc32-2.100-r4.apk2024-10-25 19:02 6.8K 
[   ]perl-string-compare-constanttime-doc-0.321-r6.apk2024-10-25 19:02 5.2K 
[   ]perl-string-compare-constanttime-0.321-r6.apk2024-10-25 19:02 7.1K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 19:02 3.5K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 19:02 3.2K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 19:02 6.9K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 19:02 6.7K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 19:02 38K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 19:02 30K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 19:02 50K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 19:02 9.2K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 19:02 10K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 19:02 13K 
[   ]perl-sql-abstract-more-doc-1.43-r0.apk2024-12-30 06:37 17K 
[   ]perl-sql-abstract-more-1.43-r0.apk2024-12-30 06:37 27K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 19:02 20K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 19:02 30K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 19:02 4.2K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 19:02 3.7K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 19:02 5.5K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 19:02 8.7K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 19:02 90K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 19:02 110K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-08 16:03 3.9K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-08 16:03 5.2K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-08 16:03 3.9K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-08 16:03 5.3K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 05:59 379K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 05:59 333K 
[   ]perl-snmp-doc-5.0404-r13.apk2024-10-25 19:02 14K 
[   ]perl-snmp-5.0404-r13.apk2024-10-25 19:02 70K 
[   ]perl-signature-attribute-checked-doc-0.06-r0.apk2024-10-25 19:02 4.6K 
[   ]perl-signature-attribute-checked-0.06-r0.apk2024-10-25 19:02 7.6K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-07 14:55 5.8K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-07 14:55 6.0K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-08 16:03 6.8K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-08 16:03 7.8K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-25 19:02 7.4K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-25 19:02 8.8K 
[   ]perl-scalar-readonly-doc-0.03-r1.apk2024-10-25 19:02 3.4K 
[   ]perl-scalar-readonly-0.03-r1.apk2024-10-25 19:02 5.2K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 19:02 35K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 19:02 12K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 19:02 7.5K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 19:02 26K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 19:02 9.1K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 19:02 2.8K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 19:02 9.0K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 19:02 2.8K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 19:02 23K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 19:02 9.0K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 19:02 2.7K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 19:02 26K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 19:02 3.7K 
[   ]perl-ref-util-xs-doc-0.117-r8.apk2024-10-25 19:02 3.4K 
[   ]perl-ref-util-xs-0.117-r8.apk2024-10-25 19:02 9.7K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 19:02 3.3K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 19:02 5.0K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 19:02 5.6K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 19:02 38K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 19:02 19K 
[   ]perl-promise-xs-doc-0.20-r1.apk2024-10-25 19:02 8.7K 
[   ]perl-promise-xs-0.20-r1.apk2024-10-25 19:02 23K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-10-25 19:02 12K 
[   ]perl-promise-me-0.5.0-r0.apk2024-10-25 19:02 26K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 19:02 2.6K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 19:02 3.0K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 19:02 2.3K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 19:02 12K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 19:02 2.5K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 19:02 11K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 19:02 3.5K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 19:02 3.7K 
[   ]perl-ppi-xs-doc-0.910-r1.apk2024-10-25 19:02 3.4K 
[   ]perl-ppi-xs-0.910-r1.apk2024-10-25 19:02 5.7K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 19:02 11K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 19:02 10K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 19:02 4.9K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 19:02 4.6K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-21 16:21 3.1K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-21 16:21 2.8K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 19:02 3.1K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 19:02 3.2K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 14:02 3.1K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 14:02 2.5K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-21 16:21 4.0K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-21 16:21 3.6K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 19:02 3.3K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 19:02 3.9K 
[   ]perl-perlio-locale-doc-0.10-r12.apk2024-10-25 19:02 3.0K 
[   ]perl-perlio-locale-0.10-r12.apk2024-10-25 19:02 4.4K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 19:02 5.2K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 19:02 5.2K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 12:05 38K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 12:05 14K 
[   ]perl-pango-doc-1.227-r11.apk2024-10-25 19:02 81K 
[   ]perl-pango-1.227-r11.apk2024-10-25 19:02 79K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 19:02 33K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 19:02 18K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 19:02 7.4K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 19:02 8.6K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 11:56 5.4K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 11:56 3.7K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r0.apk2024-10-25 19:02 4.5K 
[   ]perl-object-pad-fieldattr-checked-0.12-r0.apk2024-10-25 19:02 8.2K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 19:02 7.0K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 19:02 5.7K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 19:02 26K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 19:02 15K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 19:02 4.4K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 19:02 5.2K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 19:02 9.0K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 19:02 15K 
[   ]perl-nice-try-doc-1.3.16-r0.apk2025-05-01 05:58 12K 
[   ]perl-nice-try-1.3.16-r0.apk2025-05-01 05:58 28K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 19:02 8.0K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 19:02 11K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 19:02 44K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 19:02 58K 
[   ]perl-net-patricia-doc-1.22-r12.apk2024-10-25 19:02 6.0K 
[   ]perl-net-patricia-1.22-r12.apk2024-10-25 19:02 21K 
[   ]perl-net-netmask-doc-2.0003-r0.apk2025-05-18 04:10 8.6K 
[   ]perl-net-netmask-2.0003-r0.apk2025-05-18 04:10 14K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 19:02 48K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 19:02 7.6K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 19:02 13K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 19:02 51K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 19:02 5.2K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 19:02 5.5K 
[   ]perl-net-idn-encode-doc-2.500-r1.apk2024-10-25 19:02 22K 
[   ]perl-net-idn-encode-2.500-r1.apk2024-10-25 19:02 83K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 19:02 3.1K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 19:02 3.0K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 19:02 12K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 19:02 2.7K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 19:02 8.9K 
[   ]perl-net-curl-doc-0.57-r0.apk2025-01-22 16:11 39K 
[   ]perl-net-curl-0.57-r0.apk2025-01-22 16:11 61K 
[   ]perl-net-async-redis-xs-doc-1.001-r1.apk2024-10-25 19:02 5.3K 
[   ]perl-net-async-redis-xs-1.001-r1.apk2024-10-25 19:02 8.9K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 16:22 66K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 16:22 58K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r0.apk2024-10-25 19:02 9.7K 
[   ]perl-net-amqp-rabbitmq-2.40012-r0.apk2024-10-25 19:02 77K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 19:02 3.5K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-musicbrainz-discid-doc-0.06-r1.apk2024-10-25 19:02 4.3K 
[   ]perl-musicbrainz-discid-0.06-r1.apk2024-10-25 19:02 9.1K 
[   ]perl-multidimensional-doc-0.014-r0.apk2024-10-25 19:02 3.1K 
[   ]perl-multidimensional-0.014-r0.apk2024-10-25 19:02 4.7K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 12:05 3.2K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 12:05 3.6K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-15 14:08 3.6K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-15 14:08 3.0K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-15 14:08 4.1K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-15 14:08 4.0K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-04-30 22:48 3.7K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-04-30 22:48 3.2K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-01 06:46 4.2K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-01 06:46 4.9K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-16 04:03 4.2K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-16 04:03 3.8K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-15 14:08 24K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-15 14:08 7.7K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-01 06:46 3.3K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-01 06:46 2.8K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-04-21 17:33 5.5K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-04-21 17:33 6.0K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-19 10:55 9.4K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-19 10:55 8.2K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-21 16:21 22K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-21 16:21 8.6K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-04 23:00 5.2K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-04 23:00 5.1K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-16 04:03 25K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-16 04:03 14K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-16 04:03 5.3K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-16 04:03 5.4K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-16 04:03 4.2K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-16 04:03 4.1K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-21 16:21 33K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-21 16:21 28K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 19:02 19K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 19:02 16K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 19:02 25K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 19:02 25K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 19:02 4.4K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 19:02 4.7K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-08 16:03 5.8K 
[   ]perl-module-path-0.19-r0.apk2025-06-08 16:03 4.7K 
[   ]perl-module-generic-doc-0.43.3-r0.apk2025-04-23 04:36 214K 
[   ]perl-module-generic-0.43.3-r0.apk2025-04-23 04:36 272K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 19:02 3.8K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-minion-doc-10.31-r0.apk2024-10-25 19:02 49K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 19:02 6.8K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 19:02 10K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 19:02 6.6K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 19:02 11K 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-10-25 19:02 9.6K 
[   ]perl-minion-10.31-r0.apk2024-10-25 19:02 1.5M 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-08 16:03 3.8K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-08 16:03 6.2K 
[   ]perl-mce-doc-1.901-r0.apk2025-01-03 04:21 170K 
[   ]perl-mce-1.901-r0.apk2025-01-03 04:21 134K 
[   ]perl-math-random-isaac-xs-doc-1.004-r8.apk2024-10-25 19:02 3.8K 
[   ]perl-math-random-isaac-xs-1.004-r8.apk2024-10-25 19:02 7.6K 
[   ]perl-math-random-doc-0.72-r0.apk2024-10-25 19:02 11K 
[   ]perl-math-random-0.72-r0.apk2024-10-25 19:02 36K 
[   ]perl-math-libm-doc-1.00-r14.apk2024-10-25 19:02 3.1K 
[   ]perl-math-libm-1.00-r14.apk2024-10-25 19:02 10K 
[   ]perl-math-int64-doc-0.57-r1.apk2024-10-25 19:02 10K 
[   ]perl-math-int64-0.57-r1.apk2024-10-25 19:02 28K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 19:02 33K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 19:02 22K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 19:02 5.7K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 19:02 6.4K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-10-25 19:02 5.7K 
[   ]perl-lwp-online-1.08-r0.apk2024-10-25 19:02 6.2K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 19:02 4.0K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 19:02 4.2K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 19:02 12K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 19:02 11K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 19:02 7.3K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 19:02 11K 
[   ]perl-list-keywords-doc-0.11-r0.apk2024-10-25 19:02 5.5K 
[   ]perl-list-keywords-0.11-r0.apk2024-10-25 19:02 14K 
[   ]perl-list-binarysearch-xs-doc-0.09-r1.apk2024-10-25 19:02 8.1K 
[   ]perl-list-binarysearch-xs-0.09-r1.apk2024-10-25 19:02 12K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 19:02 12K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 19:02 10K 
[   ]perl-linux-pid-doc-0.04-r14.apk2025-05-27 14:33 3.0K 
[   ]perl-linux-pid-0.04-r14.apk2025-05-27 14:33 4.6K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-08 16:03 3.0K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-08 16:03 4.3K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-06 14:57 3.7K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-06 14:57 4.1K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-06 14:57 3.5K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-06 14:57 5.2K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-06 14:57 3.9K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-06 14:57 6.1K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-08 16:03 34K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-08 16:03 12K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 02:00 4.3K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 02:00 5.4K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-07 14:55 3.5K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-07 14:55 4.6K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-08 16:03 4.5K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-08 16:03 546K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-08 16:03 3.4K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-08 16:03 3.1K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 12:06 3.9K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 12:06 5.3K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-08 16:03 3.6K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-08 16:03 3.2K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-08 16:03 3.5K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-08 16:03 3.3K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 13:35 571K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 13:35 305K 
[   ]perl-libapreq2-doc-2.17-r2.apk2024-10-25 19:02 37K 
[   ]perl-libapreq2-dev-2.17-r2.apk2024-10-25 19:02 55K 
[   ]perl-libapreq2-2.17-r2.apk2024-10-25 19:02 98K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 19:02 3.9K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-04-26 08:13 7.6K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-04-26 08:13 7.5K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-21 16:21 34K 
[   ]perl-json-validator-5.15-r0.apk2025-03-21 16:21 58K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 19:02 3.6K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 19:02 3.1K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 19:02 5.8K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 19:02 68K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 19:02 75K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-11 16:34 9.8K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-11 16:34 11K 
[   ]perl-indirect-doc-0.39-r1.apk2024-10-25 19:02 6.4K 
[   ]perl-indirect-0.39-r1.apk2024-10-25 19:02 15K 
[   ]perl-imager-doc-1.028-r0.apk2025-06-21 08:17 285K 
[   ]perl-imager-1.028-r0.apk2025-06-21 08:17 525K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 19:02 4.1K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 19:02 4.2K 
[   ]perl-http-xsheaders-doc-0.400005-r1.apk2024-10-25 19:02 6.3K 
[   ]perl-http-xsheaders-0.400005-r1.apk2024-10-25 19:02 19K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 19:02 3.4K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 19:02 3.1K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-13 18:17 40K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-13 18:17 18K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 19:02 9.9K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 19:02 18K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 19:02 4.1K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 19:02 6.2K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-16 11:50 10K 
[   ]perl-html-query-0.09-r0.apk2025-06-16 11:50 14K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 19:02 472K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 19:02 348K 
[   ]perl-html-gumbo-doc-0.18-r1.apk2025-06-08 16:03 5.4K 
[   ]perl-html-gumbo-0.18-r1.apk2025-06-08 16:03 14K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-01 06:46 323K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-01 06:46 135K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-07 14:55 23K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-07 14:55 17K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 19:02 19K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 19:02 9.8K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-20 15:18 3.4K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-20 15:18 3.1K 
[   ]perl-guard-doc-1.023-r9.apk2024-10-25 19:02 5.3K 
[   ]perl-guard-1.023-r9.apk2024-10-25 19:02 8.3K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 19:02 9.2K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 19:02 20K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 19:02 81K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 19:02 66K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 19:02 7.3K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 19:02 13K 
[   ]perl-gtk2-doc-1.24993-r6.apk2024-10-25 19:02 670K 
[   ]perl-gtk2-1.24993-r6.apk2024-10-25 19:02 866K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 19:02 14K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 19:02 7.8K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 19:02 7.2K 
[   ]perl-glib-object-introspection-doc-0.051-r1.apk2024-10-25 19:02 11K 
[   ]perl-glib-object-introspection-0.051-r1.apk2024-10-25 19:02 59K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 19:02 22K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 19:02 15K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 19:02 4.9K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 19:02 5.4K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 19:02 32K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 19:02 16K 
[   ]perl-git-raw-doc-0.90-r3.apk2025-03-13 23:56 117K 
[   ]perl-git-raw-0.90-r3.apk2025-03-13 23:56 169K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 19:02 17K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 19:02 23K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 10:59 11K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 10:59 15K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 19:02 20K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 19:02 27K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 19:02 4.3K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 19:02 4.1K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 19:02 9.1K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 19:02 9.6K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 19:02 16K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 19:02 9.2K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r0.apk2024-10-25 19:02 3.2K 
[   ]perl-future-asyncawait-hooks-0.02-r0.apk2024-10-25 19:02 7.9K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 19:02 10K 
[   ]perl-full-1.004-r0.apk2024-10-25 19:02 7.1K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 19:02 5.7K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 19:02 9.8K 
[   ]perl-flowd-doc-0.9.1-r10.apk2024-10-25 19:02 3.2K 
[   ]perl-flowd-0.9.1-r10.apk2024-10-25 19:02 21K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 19:02 12K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 19:02 7.5K 
[   ]perl-file-mmagic-xs-doc-0.09008-r4.apk2024-10-25 19:02 4.2K 
[   ]perl-file-mmagic-xs-0.09008-r4.apk2024-10-25 19:02 29K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-21 16:21 14K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-21 16:21 12K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 19:02 5.2K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 19:02 5.2K 
[   ]perl-ffi-platypus-doc-2.10-r0.apk2024-12-19 06:09 146K 
[   ]perl-ffi-platypus-2.10-r0.apk2024-12-19 06:09 181K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 19:02 29K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 19:02 20K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 19:02 3.7K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 19:02 3.9K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 19:02 21K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 19:02 43K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-10-25 19:02 175K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 04:38 4.9K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 04:38 5.5K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 19:02 20K 
[   ]perl-expect-1.38-r0.apk2025-04-19 19:02 32K 
[   ]perl-ev-hiredis-doc-0.07-r2.apk2025-05-22 07:16 4.2K 
[   ]perl-ev-hiredis-0.07-r2.apk2025-05-22 07:16 12K 
[   ]perl-encode-detect-doc-1.01-r0.apk2025-06-07 09:17 4.8K 
[   ]perl-encode-detect-1.01-r0.apk2025-06-07 09:17 71K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 11:29 42K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 11:29 25K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 19:02 4.8K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 19:02 6.1K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 19:02 3.8K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 19:02 4.0K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 19:02 13K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 19:02 7.7K 
[   ]perl-dns-unbound-mojo-0.29-r1.apk2024-10-25 19:02 2.7K 
[   ]perl-dns-unbound-ioasync-0.29-r1.apk2024-10-25 19:02 2.4K 
[   ]perl-dns-unbound-doc-0.29-r1.apk2024-10-25 19:02 15K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk2024-10-25 19:02 1.9K 
[   ]perl-dns-unbound-anyevent-0.29-r1.apk2024-10-25 19:02 2.3K 
[   ]perl-dns-unbound-0.29-r1.apk2024-10-25 19:02 23K 
[   ]perl-digest-crc-doc-0.24-r1.apk2024-10-25 19:02 3.2K 
[   ]perl-digest-crc-0.24-r1.apk2024-10-25 19:02 9.2K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 19:02 5.2K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 19:02 5.6K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-04-26 10:21 3.4K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-04-26 10:21 3.7K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-04-24 14:50 60K 
[   ]perl-devel-repl-1.003029-r0.apk2025-04-24 14:50 28K 
[   ]perl-devel-refcount-doc-0.10-r1.apk2024-10-25 19:02 4.2K 
[   ]perl-devel-refcount-0.10-r1.apk2024-10-25 19:02 6.0K 
[   ]perl-devel-nytprof-doc-6.14-r0.apk2025-06-15 04:47 50K 
[   ]perl-devel-nytprof-6.14-r0.apk2025-06-15 04:47 391K 
[   ]perl-devel-leak-doc-0.03-r13.apk2024-10-25 19:02 3.3K 
[   ]perl-devel-leak-0.03-r13.apk2024-10-25 19:02 6.6K 
[   ]perl-devel-findperl-doc-0.016-r0.apk2025-06-08 16:03 3.8K 
[   ]perl-devel-findperl-0.016-r0.apk2025-06-08 16:03 4.7K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 19:02 6.7K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 19:02 11K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 19:02 8.5K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 19:02 8.1K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 19:02 7.5K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 19:02 4.3K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 06:37 22K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 06:37 15K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-10 00:53 77K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-10 00:53 97K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-17 04:04 121K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-17 04:04 48K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 17:27 421K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-10 00:53 3.0K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-10 00:53 3.2K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 05:59 9.5K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 05:59 7.9K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 17:27 355K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 19:02 5.3K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 19:02 5.9K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 19:02 15K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 19:02 12K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 19:02 7.6K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 19:02 2.5K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 15:14 4.1K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 15:14 4.4K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 13:36 12K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 13:36 18K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 15:14 3.8K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 15:14 3.2K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 19:02 9.3K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 19:02 14K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 19:02 29K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 19:02 23K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-21 16:21 8.3K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-21 16:21 9.8K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 19:02 5.9K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 19:02 8.8K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 19:02 5.5K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 19:02 5.8K 
[   ]perl-data-dump-streamer-doc-2.42-r0.apk2025-04-26 04:58 17K 
[   ]perl-data-dump-streamer-2.42-r0.apk2025-04-26 04:58 50K 
[   ]perl-data-clone-doc-0.006-r0.apk2025-04-28 15:19 4.5K 
[   ]perl-data-clone-0.006-r0.apk2025-04-28 15:19 9.6K 
[   ]perl-data-checks-doc-0.10-r0.apk2024-10-25 19:02 8.2K 
[   ]perl-data-checks-0.10-r0.apk2024-10-25 19:02 22K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 04:54 301K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 04:54 163K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-25 19:02 4.2K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-25 19:02 5.5K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 19:02 8.5K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 19:02 9.7K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 19:02 5.4K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 19:02 4.9K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 19:02 15K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 19:02 15K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 19:02 8.3K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 19:02 12K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 19:02 33K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 19:02 23K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-16 11:50 9.4K 
[   ]perl-css-inliner-4027-r0.apk2025-06-16 11:50 16K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 19:02 6.4K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 19:02 7.0K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 19:02 8.8K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 19:02 11K 
[   ]perl-crypt-blowfish-doc-2.14-r0.apk2025-04-30 22:48 4.0K 
[   ]perl-crypt-blowfish-2.14-r0.apk2025-04-30 22:48 12K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-10-25 19:02 18K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-10-25 19:02 14K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 19:02 4.2K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 19:02 3.9K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 19:02 7.0K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 19:02 8.8K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 19:02 7.0K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 19:02 7.4K 
[   ]perl-conf-libconfig-doc-1.0.3-r1.apk2025-06-13 14:12 5.5K 
[   ]perl-conf-libconfig-1.0.3-r1.apk2025-06-13 14:12 24K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-21 16:21 7.4K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-21 16:21 9.5K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 19:02 5.2K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 19:02 7.3K 
[   ]perl-clipboard-doc-0.32-r0.apk2025-05-18 15:45 27K 
[   ]perl-clipboard-0.32-r0.apk2025-05-18 15:45 10K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 04:54 12K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 04:54 13K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-04 23:00 3.1K 
[   ]perl-class-unload-0.11-r0.apk2025-06-04 23:00 2.6K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 19:02 4.1K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 19:02 4.0K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 19:02 9.3K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 19:02 5.3K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 19:02 5.5K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-14 17:12 4.7K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-14 17:12 5.1K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 19:02 9.5K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 19:02 7.5K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 19:02 12K 
[   ]perl-check-unitcheck-doc-0.13-r1.apk2024-10-25 19:02 3.6K 
[   ]perl-check-unitcheck-0.13-r1.apk2024-10-25 19:02 5.8K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-14 17:12 6.8K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-14 17:12 7.8K 
[   ]perl-cgi-simple-doc-1.281-r0.apk2025-03-12 14:42 43K 
[   ]perl-cgi-simple-1.281-r0.apk2025-03-12 14:42 56K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 19:02 6.2K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 19:02 6.9K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-10 03:12 24K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-10 03:12 11K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-04-27 15:07 3.6K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-04-27 15:07 3.3K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 04:47 4.5K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 04:47 3.1K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 04:47 3.5K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 04:47 3.4K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-01 06:46 3.6K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-01 06:46 3.4K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-10 03:12 4.0K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-10 03:12 4.3K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-13 21:31 13K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-13 21:31 14K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 11:29 11K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 11:29 9.1K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-21 16:21 216K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-21 16:21 150K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-21 16:21 7.4K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-21 16:21 8.7K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 02:46 3.9K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 02:46 4.7K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 02:46 4.0K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 02:46 3.6K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-13 18:17 6.4K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-13 18:17 5.9K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 04:32 4.8K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 04:32 4.9K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 11:56 25K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 11:56 14K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 11:29 13K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 11:29 4.1K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-21 16:21 10K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-21 16:21 5.4K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-04-03 00:11 56K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-04-03 00:11 32K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-11 16:35 18K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-11 16:35 19K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-13 21:31 12K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-13 21:31 6.5K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 06:07 375K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 06:07 2.7K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-21 16:21 11K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-21 16:21 54K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 06:07 4.4K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 06:07 5.0K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-19 10:55 3.3K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-19 10:55 2.7K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-11 16:35 14K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-11 16:35 13K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 06:07 6.8K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 06:07 8.6K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-10 03:12 5.7K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-10 03:12 4.3K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-04-03 00:11 27K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-04-03 00:11 25K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-21 16:21 4.0K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-21 16:21 3.8K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-04-26 17:12 5.9K 
[   ]perl-carp-repl-0.18-r0.apk2025-04-26 17:12 6.0K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-13 21:31 7.8K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-13 21:31 9.0K 
[   ]perl-cairo-gobject-doc-1.005-r4.apk2024-10-25 19:02 3.0K 
[   ]perl-cairo-gobject-1.005-r4.apk2024-10-25 19:02 6.3K 
[   ]perl-cairo-doc-1.109-r4.apk2024-10-25 19:02 14K 
[   ]perl-cairo-1.109-r4.apk2024-10-25 19:02 74K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 19:02 3.2K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 19:02 3.0K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 19:02 12K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 19:02 14K 
[   ]perl-bsd-resource-doc-1.2911-r10.apk2024-10-25 19:02 7.9K 
[   ]perl-bsd-resource-1.2911-r10.apk2024-10-25 19:02 19K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 19:02 3.6K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 19:02 3.9K 
[   ]perl-bareword-filehandles-doc-0.007-r0.apk2024-10-25 19:02 3.2K 
[   ]perl-bareword-filehandles-0.007-r0.apk2024-10-25 19:02 5.8K 
[   ]perl-barcode-zbar-doc-0.10-r3.apk2024-10-25 19:02 13K 
[   ]perl-barcode-zbar-0.10-r3.apk2024-10-25 19:02 30K 
[   ]perl-badger-doc-0.16-r0.apk2025-06-15 14:50 260K 
[   ]perl-badger-0.16-r0.apk2025-06-15 14:50 253K 
[   ]perl-b-utils-doc-0.27-r0.apk2025-04-25 16:53 9.4K 
[   ]perl-b-utils-0.27-r0.apk2025-04-25 16:53 19K 
[   ]perl-b-hooks-op-check-doc-0.22-r0.apk2024-10-25 19:02 3.7K 
[   ]perl-b-hooks-op-check-0.22-r0.apk2024-10-25 19:02 6.4K 
[   ]perl-autobox-doc-3.0.2-r0.apk2024-10-25 19:02 8.8K 
[   ]perl-autobox-3.0.2-r0.apk2024-10-25 19:02 19K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-11 16:34 5.0K 
[   ]perl-asa-1.04-r0.apk2025-06-11 16:34 4.3K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 19:02 6.8K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 19:02 16K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 19:02 10K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 19:02 12K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 19:02 5.4K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 19:02 5.2K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 19:02 4.0K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 19:02 5.1K 
[   ]perl-alien-libgumbo-doc-0.05-r0.apk2025-06-08 16:03 4.8K 
[   ]perl-alien-libgumbo-0.05-r0.apk2025-06-08 16:03 576K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-08 16:03 53K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-08 16:03 22K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 19:02 5.7K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 19:02 5.7K 
[   ]perl-algorithm-permute-doc-0.17-r0.apk2024-10-25 19:02 5.0K 
[   ]perl-algorithm-permute-0.17-r0.apk2024-10-25 19:02 12K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 19:02 148K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 19:02 79K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 19:02 4.6K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 19:02 6.1K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 19:02 5.1K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 19:02 5.7K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 19:02 29K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 19:02 9.6K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 19:02 17K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 19:02 8.1K 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 19:02 298K 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 19:02 1.8M 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 19:02 16K 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 19:02 1.2M 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 19:02 14K 
[   ]peg-0.1.18-r1.apk2024-10-25 19:02 38K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 19:02 1.8K 
[   ]peervpn-0.044-r5.apk2024-10-25 19:02 40K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 21:23 3.7K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 21:23 40K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 21:23 63K 
[   ]pdfcrack-0.20-r0.apk2024-10-25 19:02 24K 
[   ]pdf2svg-0.2.3-r1.apk2024-10-25 19:02 4.8K 
[   ]pdal-python-plugins-1.6.5-r0.apk2025-06-21 21:43 249K 
[   ]pcsx2-1.7.4819-r5.apk2025-02-22 14:39 11M 
[   ]pcl-libs-1.14.1-r0.apk2025-02-22 14:39 1.3M 
[   ]pcl-dev-1.14.1-r0.apk2025-02-22 14:39 387K 
[   ]pcl-1.14.1-r0.apk2025-02-22 14:39 481K 
[   ]pcem-17-r2.apk2024-10-25 19:02 2.0M 
[   ]pathvector-6.3.2-r13.apk2025-05-14 00:10 4.1M 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 19:02 3.3K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 19:02 46K 
[   ]pass2csv-pyc-1.1.1-r1.apk2024-10-25 19:02 7.5K 
[   ]pass2csv-1.1.1-r1.apk2024-10-25 19:02 8.4K 
[   ]pash-2.3.0-r2.apk2024-10-25 19:02 4.3K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-18 22:20 550K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 19:02 49K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 19:02 25K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 19:02 228K 
[   ]paraexec-1.0-r3.apk2024-10-25 19:02 13M 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-10 03:12 5.9K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-10 03:12 311K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 19:02 30K 
[   ]par-1.53.0-r1.apk2024-10-25 19:02 14K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 22:14 38K 
[   ]paprefs-1.2-r2.apk2024-11-22 22:14 30K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 19:02 4.5K 
[   ]paperkey-1.6-r2.apk2024-10-25 19:02 16K 
[   ]paperde-dev-0.2.1-r2.apk2024-10-25 19:02 5.2K 
[   ]paperde-0.2.1-r2.apk2024-10-25 19:02 635K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 19:02 1.7K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 19:02 83K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 19:02 6.4K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 19:02 45K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 19:02 2.9K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 19:02 9.2K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 19:02 8.5K 
[   ]pam-pkcs11-doc-0.6.13-r0.apk2025-06-10 19:02 14K 
[   ]pam-pkcs11-0.6.13-r0.apk2025-06-10 19:02 257K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 19:02 24K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 19:02 22K 
[   ]paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk2025-05-28 14:24 1.9K 
[   ]paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk2025-05-28 14:24 1.8K 
[   ]paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk2025-05-28 14:24 1.9K 
[   ]paged-markdown-3-pdf-0.1.3-r0.apk2025-05-28 14:24 465K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 19:02 18K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 19:02 3.6K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 19:02 748K 
[   ]pacoloco-openrc-1.7-r1.apk2025-05-14 00:10 1.9K 
[   ]pacoloco-doc-1.7-r1.apk2025-05-14 00:10 2.2K 
[   ]pacoloco-1.7-r1.apk2025-05-14 00:10 5.0M 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 19:02 1.8K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 19:02 3.0K 
[   ]p910nd-0.97-r2.apk2024-10-25 19:02 7.7K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 19:02 25K 
[   ]p0f-3.09b-r3.apk2024-10-25 19:02 75K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 19:02 32M 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 19:02 6.5K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 19:02 12K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 19:02 4.0K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 19:02 46K 
[   ]ovos-phal-pyc-0.2.7-r0.apk2024-11-21 13:32 7.2K 
[   ]ovos-phal-0.2.7-r0.apk2024-11-21 13:32 10K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 09:13 6.7K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 09:13 9.9K 
[   ]ovos-gui-pyc-1.1.0-r0.apk2024-11-25 12:42 38K 
[   ]ovos-gui-1.1.0-r0.apk2024-11-25 12:42 31K 
[   ]ovos-dinkum-listener-pyc-0.4.0-r0.apk2025-04-08 09:13 57K 
[   ]ovos-dinkum-listener-0.4.0-r0.apk2025-04-08 09:13 109K 
[   ]ovos-core-pyc-1.3.1-r0.apk2025-05-26 12:52 64K 
[   ]ovos-core-1.3.1-r0.apk2025-05-26 12:52 51K 
[   ]ovos-audio-pyc-0.3.1-r0.apk2024-11-21 13:32 36K 
[   ]ovos-audio-0.3.1-r0.apk2024-11-21 13:32 136K 
[   ]ovos-0.0.1-r1.apk2024-10-25 19:02 1.5K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 19:02 4.2K 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 19:02 512K 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 19:02 11M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 19:02 26M 
[   ]ovn-24.03.1-r0.apk2024-10-25 19:02 6.9M 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 07:37 3.1K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 07:37 3.0K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 07:37 3.8K 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 07:37 2.5K 
[   ]ouch-0.6.1-r0.apk2025-05-28 07:37 1.7M 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 19:02 107K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 19:02 1.9K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 19:02 1.8K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 19:02 1.8K 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 19:02 795K 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 19:02 3.9M 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 19:02 2.4K 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 19:02 4.0K 
[   ]otrs-6.0.48-r2.apk2024-10-25 19:02 29M 
[   ]otpclient-doc-4.0.2-r1.apk2025-06-12 13:59 3.6K 
[   ]otpclient-4.0.2-r1.apk2025-06-12 13:59 117K 
[   ]otf-server-openrc-0.3.23-r0.apk2025-05-28 22:39 2.0K 
[   ]otf-server-0.3.23-r0.apk2025-05-28 22:39 13M 
[   ]otf-cli-0.3.23-r0.apk2025-05-28 22:39 8.5M 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 19:02 48K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 19:02 102K 
[   ]otf-agent-openrc-0.3.23-r0.apk2025-05-28 22:39 2.0K 
[   ]otf-agent-0.3.23-r0.apk2025-05-28 22:39 8.6M 
[   ]otf-0.3.23-r0.apk2025-05-28 22:39 1.3K 
[   ]ostui-doc-1.0.3-r2.apk2025-05-14 00:10 28K 
[   ]ostui-1.0.3-r2.apk2025-05-14 00:10 5.0M 
[   ]osmctools-0.9-r0.apk2024-10-25 19:02 119K 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 11:51 1.2M 
[   ]orage-4.20.1-r0.apk2025-04-08 11:51 580K 
[   ]opmsg-1.84-r1.apk2024-10-25 19:02 272K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 19:02 3.6K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 19:02 25K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 19:02 77K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 19:02 7.7K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 19:02 109K 
[   ]opkg-0.7.0-r0.apk2024-10-25 19:02 9.8K 
[   ]openwsman-libs-2.8.1-r0.apk2025-02-22 14:39 315K 
[   ]openwsman-doc-2.8.1-r0.apk2025-02-22 14:39 2.3K 
[   ]openwsman-dev-2.8.1-r0.apk2025-02-22 14:39 56K 
[   ]openwsman-2.8.1-r0.apk2025-02-22 14:39 46K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-22 14:39 667K 
[   ]openvpn3-3.8.5-r1.apk2025-02-22 14:39 382K 
[   ]opentelemetry-cpp-exporter-zipkin-1.21.0-r1.apk2025-06-11 17:37 47K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.21.0-r1.apk2025-06-11 17:37 80K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.21.0-r1.apk2025-06-11 17:37 52K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.21.0-r1.apk2025-06-11 17:37 45K 
[   ]opentelemetry-cpp-dev-1.21.0-r1.apk2025-06-11 17:37 558K 
[   ]opentelemetry-cpp-1.21.0-r1.apk2025-06-11 17:37 587K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 19:02 2.0K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 19:02 9.4K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 19:02 148K 
[   ]openspades-doc-0.1.3-r5.apk2024-10-25 19:02 20K 
[   ]openspades-0.1.3-r5.apk2024-10-25 19:02 11M 
[   ]opensm-openrc-3.3.24-r2.apk2024-10-25 19:02 2.8K 
[   ]opensm-doc-3.3.24-r2.apk2024-10-25 19:02 38K 
[   ]opensm-dev-3.3.24-r2.apk2024-10-25 19:02 219K 
[   ]opensm-3.3.24-r2.apk2024-10-25 19:02 467K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 19:02 9.1K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 19:02 4.9K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 19:02 6.9K 
[   ]openslide-3.4.1-r3.apk2024-10-25 19:02 80K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 19:02 102K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 19:02 18K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 19:02 60K 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-24 15:50 2.2K 
[   ]openrdap-0.9.1-r0.apk2025-06-24 15:50 3.4M 
[   ]openra-20231010-r1.apk2025-05-14 18:16 24M 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 19:02 3.3K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 19:02 3.3K 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 19:02 3.7K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 19:02 1.5M 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 19:02 3.4K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 19:02 3.3K 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 19:02 3.4K 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 19:02 4.1M 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 19:02 1.5K 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 19:02 1.6M 
[   ]openocd-esp32-udev-rules-0_git20250422-r0.apk2025-04-26 08:28 3.5K 
[   ]openocd-esp32-doc-0_git20250422-r0.apk2025-04-26 08:28 3.2K 
[   ]openocd-esp32-dev-0_git20250422-r0.apk2025-04-26 08:28 3.7K 
[   ]openocd-esp32-0_git20250422-r0.apk2025-04-26 08:28 1.9M 
[   ]openjfx-doc-21.0.3_p1-r0.apk2024-10-25 19:02 97K 
[   ]openjfx-demos-21.0.3_p1-r0.apk2024-10-25 19:02 28M 
[   ]openjfx-21.0.3_p1-r0.apk2024-10-25 19:02 8.9M 
[   ]openjdk24-static-libs-24.0.1_p9-r0.apk2025-06-09 08:31 20M 
[   ]openjdk24-src-24.0.1_p9-r0.apk2025-06-09 08:31 48M 
[   ]openjdk24-jre-headless-24.0.1_p9-r0.apk2025-06-09 08:31 75M 
[   ]openjdk24-jre-24.0.1_p9-r0.apk2025-06-09 08:31 1.0M 
[   ]openjdk24-jmods-24.0.1_p9-r0.apk2025-06-09 08:31 81M 
[   ]openjdk24-jdk-24.0.1_p9-r0.apk2025-06-09 08:31 7.1M 
[   ]openjdk24-doc-24.0.1_p9-r0.apk2025-06-09 08:31 32M 
[   ]openjdk24-demos-24.0.1_p9-r0.apk2025-06-09 08:31 5.3M 
[   ]openjdk24-24.0.1_p9-r0.apk2025-06-09 08:31 1.5K 
[   ]openjdk23-static-libs-23.0.2_p7-r1.apk2025-02-22 14:39 20M 
[   ]openjdk23-src-23.0.2_p7-r1.apk2025-02-22 14:39 48M 
[   ]openjdk23-jre-headless-23.0.2_p7-r1.apk2025-02-22 14:39 66M 
[   ]openjdk23-jre-23.0.2_p7-r1.apk2025-02-22 14:39 1.0M 
[   ]openjdk23-jmods-23.0.2_p7-r1.apk2025-02-22 14:39 81M 
[   ]openjdk23-jdk-23.0.2_p7-r1.apk2025-02-22 14:39 6.7M 
[   ]openjdk23-doc-23.0.2_p7-r1.apk2025-02-22 14:39 188K 
[   ]openjdk23-demos-23.0.2_p7-r1.apk2025-02-22 14:39 5.2M 
[   ]openjdk23-23.0.2_p7-r1.apk2025-02-22 14:39 1.5K 
[   ]openjdk22-static-libs-22.0.2_p9-r3.apk2025-02-22 14:39 20M 
[   ]openjdk22-src-22.0.2_p9-r3.apk2025-02-22 14:39 48M 
[   ]openjdk22-jre-headless-22.0.2_p9-r3.apk2025-02-22 14:39 62M 
[   ]openjdk22-jre-22.0.2_p9-r3.apk2025-02-22 14:39 1.0M 
[   ]openjdk22-jmods-22.0.2_p9-r3.apk2025-02-22 14:39 77M 
[   ]openjdk22-jdk-22.0.2_p9-r3.apk2025-02-22 14:39 6.6M 
[   ]openjdk22-doc-22.0.2_p9-r3.apk2025-02-22 14:39 187K 
[   ]openjdk22-demos-22.0.2_p9-r3.apk2025-02-22 14:39 5.2M 
[   ]openjdk22-22.0.2_p9-r3.apk2025-02-22 14:39 1.5K 
[   ]openjdk21-mandrel-23.1.6.0-r0.apk2025-02-22 14:39 23M 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 19:02 1.9M 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-12 04:50 6.3K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-12 04:50 41K 
[   ]openfire-plugins-4.8.1-r1.apk2024-12-03 15:45 72K 
[   ]openfire-openrc-4.8.1-r1.apk2024-12-03 15:45 1.9K 
[   ]openfire-doc-4.8.1-r1.apk2024-12-03 15:45 3.8M 
[   ]openfire-4.8.1-r1.apk2024-12-03 15:45 46M 
[   ]opendht-libs-3.1.11-r0.apk2025-01-29 16:00 573K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-29 16:00 3.0K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-29 16:00 71K 
[   ]opendht-3.1.11-r0.apk2025-01-29 16:00 182K 
[   ]openconnect-sso-pyc-0.8.0_git20230822-r0.apk2025-05-14 09:13 29K 
[   ]openconnect-sso-0.8.0_git20230822-r0.apk2025-05-14 09:13 29K 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 19:02 9.9M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-25 19:02 4.1M 
[   ]opcr-policy-0.3.0-r4.apk2025-05-14 00:10 9.2M 
[   ]opa-zsh-completion-1.4.2-r1.apk2025-05-14 00:10 4.0K 
[   ]opa-fish-completion-1.4.2-r1.apk2025-05-14 00:10 4.3K 
[   ]opa-doc-1.4.2-r1.apk2025-05-14 00:10 23K 
[   ]opa-bash-completion-1.4.2-r1.apk2025-05-14 00:10 6.1K 
[   ]opa-1.4.2-r1.apk2025-05-14 00:10 11M 
[   ]onnxruntime-dev-1.22.0-r1.apk2025-06-12 13:59 115K 
[   ]onnxruntime-1.22.0-r1.apk2025-06-12 13:59 11M 
[   ]oniux-doc-0.5.0-r0.apk2025-05-25 15:27 3.1K 
[   ]oniux-0.5.0-r0.apk2025-05-25 15:27 5.7M 
[   ]onioncat-doc-4.11.0-r1.apk2024-10-25 19:02 19K 
[   ]onioncat-4.11.0-r1.apk2024-10-25 19:02 57K 
[   ]onevpl-intel-gpu-dev-25.2.3-r0.apk2025-05-22 16:45 1.8K 
[   ]onevpl-intel-gpu-25.2.3-r0.apk2025-05-22 16:45 2.2M 
[   ]one-dnn-doc-3.1-r0.apk2024-10-25 19:02 16K 
[   ]one-dnn-dev-3.1-r0.apk2024-10-25 19:02 114K 
[   ]one-dnn-3.1-r0.apk2024-10-25 19:02 16M 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 19:02 173K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 19:02 1.9K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 19:02 25K 
[   ]olsrd-0.9.8-r3.apk2024-10-25 19:02 166K 
[   ]ollama-doc-0.9.2-r0.apk2025-06-21 04:23 248K 
[   ]ollama-0.9.2-r0.apk2025-06-21 04:23 13M 
[   ]olab-0.1.8-r0.apk2024-10-25 19:02 3.4M 
[   ]ol-doc-2.6-r0.apk2025-05-01 06:30 2.6K 
[   ]ol-dev-2.6-r0.apk2025-05-01 06:30 16K 
[   ]ol-2.6-r0.apk2025-05-01 06:30 1.0M 
[   ]oils-for-unix-doc-0.31.0-r0.apk2025-06-23 05:24 7.0K 
[   ]oils-for-unix-binsh-0.31.0-r0.apk2025-06-23 05:24 1.5K 
[   ]oils-for-unix-bash-0.31.0-r0.apk2025-06-23 05:24 1.5K 
[   ]oils-for-unix-0.31.0-r0.apk2025-06-23 05:24 639K 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 19:02 7.0K 
[   ]oil-0.21.0-r0.apk2024-10-25 19:02 1.5M 
[   ]oha-doc-1.9.0-r0.apk2025-06-22 02:20 2.2K 
[   ]oha-1.9.0-r0.apk2025-06-22 02:20 3.1M 
[   ]odyssey-openrc-1.3-r3.apk2024-10-25 19:02 2.0K 
[   ]odyssey-1.3-r3.apk2024-10-25 19:02 125K 
[   ]odin-vendor-0.2025.06-r0.apk2025-06-03 14:31 3.5M 
[   ]odin-doc-0.2025.06-r0.apk2025-06-03 14:31 4.8K 
[   ]odin-0.2025.06-r0.apk2025-06-03 14:31 3.0M 
[   ]ode-0.16.6-r0.apk2025-01-19 14:15 568K 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 22:04 1.2M 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 19:02 13K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 19:02 31K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 22:04 1.7K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 19:02 18K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 19:02 29K 
[   ]octoprint-filecheck-pyc-2024.3.27-r1.apk2024-10-25 19:02 11K 
[   ]octoprint-filecheck-2024.3.27-r1.apk2024-10-25 19:02 28K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 19:02 3.3K 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 19:02 4.7K 
[   ]octoprint-1.10.3-r0.apk2024-12-25 22:04 3.0M 
[   ]ocp-index-vim-1.3.6-r0.apk2024-10-25 19:02 3.1K 
[   ]ocp-index-emacs-1.3.6-r0.apk2024-10-25 19:02 6.3K 
[   ]ocp-index-doc-1.3.6-r0.apk2024-10-25 19:02 31K 
[   ]ocp-index-1.3.6-r0.apk2024-10-25 19:02 5.5M 
[   ]ocp-indent-vim-1.8.2-r2.apk2024-10-25 19:02 2.4K 
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-10-25 19:02 3.7K 
[   ]ocp-indent-doc-1.8.2-r2.apk2024-10-25 19:02 17K 
[   ]ocp-indent-1.8.2-r2.apk2024-10-25 19:02 1.1M 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 19:02 69K 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 19:02 45K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 19:02 1.1M 
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-10-25 19:02 51K 
[   ]ocamlnet-dev-4.1.9-r2.apk2024-10-25 19:02 5.7M 
[   ]ocamlnet-4.1.9-r2.apk2024-10-25 19:02 16M 
[   ]ocaml5-llvm-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 669K 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 19:02 1.1M 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 19:02 518K 
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-10-25 19:02 1.7M 
[   ]ocaml-yojson-2.1.2-r0.apk2024-10-25 19:02 1.0M 
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-10-25 19:02 774K 
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-10-25 19:02 8.8M 
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-10-25 19:02 99K 
[   ]ocaml-xmlm-1.4.0-r2.apk2024-10-25 19:02 563K 
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-10-25 19:02 387K 
[   ]ocaml-xml-light-2.5-r0.apk2024-10-25 19:02 217K 
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-10-25 19:02 1.5M 
[   ]ocaml-x509-0.16.0-r2.apk2024-10-25 19:02 829K 
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-10-25 19:02 56K 
[   ]ocaml-uutf-1.0.3-r2.apk2024-10-25 19:02 627K 
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-10-25 19:02 1.3M 
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-10-25 19:02 52K 
[   ]ocaml-uuseg-14.0.0-r2.apk2024-10-25 19:02 93K 
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-10-25 19:02 194K 
[   ]ocaml-uunf-14.0.0-r2.apk2024-10-25 19:02 1.1M 
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-10-25 19:02 448K 
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-10-25 19:02 25K 
[   ]ocaml-uuidm-0.9.8-r2.apk2024-10-25 19:02 45K 
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-10-25 19:02 1.1M 
[   ]ocaml-uucp-14.0.0-r2.apk2024-10-25 19:02 5.1M 
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-10-25 19:02 160K 
[   ]ocaml-uucd-14.0.0-r2.apk2024-10-25 19:02 269K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 19:02 763K 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 19:02 349K 
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-10-25 19:02 4.3M 
[   ]ocaml-uri-4.2.0-r2.apk2024-10-25 19:02 1.4M 
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-10-25 19:02 108K 
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-10-25 19:02 63K 
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-10-25 19:02 77K 
[   ]ocaml-tsdl-image-0.6-r0.apk2024-10-25 19:02 49K 
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-10-25 19:02 552K 
[   ]ocaml-tsdl-1.0.0-r0.apk2024-10-25 19:02 909K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 19:02 26K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 19:02 16K 
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-10-25 19:02 409K 
[   ]ocaml-topkg-1.0.5-r2.apk2024-10-25 19:02 613K 
[   ]ocaml-tophide-1.0.4-r2.apk2024-10-25 19:02 5.7K 
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-10-25 19:02 2.3M 
[   ]ocaml-tls-0.15.3-r4.apk2024-10-25 19:02 1.2M 
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-10-25 19:02 2.4M 
[   ]ocaml-tcpip-7.1.2-r3.apk2024-10-25 19:02 1.2M 
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-10-25 19:02 78K 
[   ]ocaml-stringext-1.6.0-r2.apk2024-10-25 19:02 43K 
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-10-25 19:02 9.9M 
[   ]ocaml-stk-0.1.0-r0.apk2024-10-25 19:02 6.1M 
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-10-25 19:02 4.2K 
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-10-25 19:02 209K 
[   ]ocaml-ssl-0.7.0-r0.apk2024-10-25 19:02 95K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 19:02 231K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 19:02 62K 
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-10-25 19:02 338K 
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-10-25 19:02 172K 
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-10-25 19:02 827K 
[   ]ocaml-sexplib-0.16.0-r0.apk2024-10-25 19:02 486K 
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-10-25 19:02 20K 
[   ]ocaml-seq-0.3.1-r2.apk2024-10-25 19:02 14K 
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-10-25 19:02 1.5M 
[   ]ocaml-sedlex-3.2-r0.apk2024-10-25 19:02 4.1M 
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-10-25 19:02 36K 
[   ]ocaml-rresult-0.7.0-r2.apk2024-10-25 19:02 39K 
[   ]ocaml-result-dev-1.5-r2.apk2024-10-25 19:02 8.1K 
[   ]ocaml-result-1.5-r2.apk2024-10-25 19:02 9.0K 
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-10-25 19:02 29M 
[   ]ocaml-reason-3.8.2-r1.apk2024-10-25 19:02 15M 
[   ]ocaml-react-dev-1.2.2-r2.apk2024-10-25 19:02 194K 
[   ]ocaml-react-1.2.2-r2.apk2024-10-25 19:02 283K 
[   ]ocaml-re-dev-1.11.0-r1.apk2024-10-25 19:02 1.0M 
[   ]ocaml-re-1.11.0-r1.apk2024-10-25 19:02 526K 
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-10-25 19:02 18K 
[   ]ocaml-randomconv-0.1.3-r2.apk2024-10-25 19:02 14K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 19:02 3.6K 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 19:02 331K 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 19:02 1.3M 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 19:02 670K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 19:02 95K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 19:02 54K 
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-10-25 19:02 75K 
[   ]ocaml-ptime-1.0.0-r2.apk2024-10-25 19:02 111K 
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-10-25 19:02 173K 
[   ]ocaml-psq-0.2.0-r2.apk2024-10-25 19:02 96K 
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-10-25 19:02 16M 
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-10-25 19:02 13M 
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-10-25 19:02 1.1M 
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-10-25 19:02 569K 
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-10-25 19:02 1.3M 
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-10-25 19:02 4.9M 
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-10-25 19:02 11K 
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-10-25 19:02 9.8K 
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-10-25 19:02 19K 
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-10-25 19:02 3.7M 
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-10-25 19:02 305K 
[   ]ocaml-pcre-7.5.0-r4.apk2024-10-25 19:02 150K 
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-10-25 19:02 26K 
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-10-25 19:02 18K 
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-10-25 19:02 940K 
[   ]ocaml-parsexp-0.16.0-r0.apk2024-10-25 19:02 389K 
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-10-25 19:02 1.1M 
[   ]ocaml-ounit-2.2.7-r3.apk2024-10-25 19:02 538K 
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-10-25 19:02 525K 
[   ]ocaml-otr-0.3.10-r2.apk2024-10-25 19:02 281K 
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-10-25 19:02 780K 
[   ]ocaml-otoml-1.0.5-r0.apk2024-10-25 19:02 442K 
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-10-25 19:02 213K 
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-10-25 19:02 2.7M 
[   ]ocaml-omod-0.0.3-r3.apk2024-10-25 19:02 333K 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 19:02 8.0K 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 19:02 1.6M 
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-10-25 19:02 259K 
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-10-25 19:02 155K 
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-10-25 19:02 529K 
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-10-25 19:02 466K 
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-10-25 19:02 638K 
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-10-25 19:02 542K 
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-10-25 19:02 320K 
[   ]ocaml-ocf-0.8.0-r3.apk2024-10-25 19:02 7.8M 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 19:02 1.1M 
[   ]ocaml-num-dev-1.4-r3.apk2024-10-25 19:02 94K 
[   ]ocaml-num-1.4-r3.apk2024-10-25 19:02 255K 
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-10-25 19:02 612K 
[   ]ocaml-notty-0.2.3-r0.apk2024-10-25 19:02 314K 
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-10-25 19:02 49K 
[   ]ocaml-mtime-1.4.0-r2.apk2024-10-25 19:02 48K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 19:02 258K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 19:02 157K 
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-10-25 19:02 6.7K 
[   ]ocaml-mmap-1.2.0-r3.apk2024-10-25 19:02 7.3K 
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-10-25 19:02 8.5K 
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-10-25 19:02 12K 
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-10-25 19:02 5.4K 
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-10-25 19:02 7.0K 
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-10-25 19:02 32K 
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-10-25 19:02 25K 
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-10-25 19:02 20K 
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-10-25 19:02 12K 
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-10-25 19:02 44K 
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-10-25 19:02 21K 
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-10-25 19:02 195K 
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-10-25 19:02 111K 
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-10-25 19:02 3.2M 
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-10-25 19:02 1.1M 
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-10-25 19:02 36K 
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-10-25 19:02 29K 
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-10-25 19:02 145K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 19:02 296K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 19:02 184K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 19:02 98K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 19:02 70K 
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-10-25 19:02 452K 
[   ]ocaml-metrics-0.4.0-r3.apk2024-10-25 19:02 247K 
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-10-25 19:02 92K 
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-10-25 19:02 50K 
[   ]ocaml-menhir-doc-20220210-r3.apk2024-12-08 16:20 610K 
[   ]ocaml-menhir-dev-20220210-r3.apk2024-12-08 16:20 899K 
[   ]ocaml-menhir-20220210-r3.apk2024-12-08 16:20 1.3M 
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-10-25 19:02 2.1M 
[   ]ocaml-markup-1.0.3-r3.apk2024-10-25 19:02 1.0M 
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-10-25 19:02 307K 
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-10-25 19:02 203K 
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-10-25 19:02 48K 
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-10-25 19:02 30K 
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-10-25 19:02 121K 
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-10-25 19:02 3.8M 
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-10-25 19:02 258K 
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-10-25 19:02 134K 
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-10-25 19:02 41K 
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-10-25 19:02 23K 
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-10-25 19:02 3.2M 
[   ]ocaml-lwt-5.7.0-r0.apk2024-10-25 19:02 1.2M 
[   ]ocaml-lwd-dev-0.3-r0.apk2024-10-25 19:02 1.0M 
[   ]ocaml-lwd-0.3-r0.apk2024-10-25 19:02 492K 
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-10-25 19:02 164K 
[   ]ocaml-lru-0.3.0-r2.apk2024-10-25 19:02 77K 
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-10-25 19:02 89K 
[   ]ocaml-logs-0.7.0-r3.apk2024-10-25 19:02 118K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 19:02 14K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 19:02 94K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 19:02 175K 
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-10-25 19:02 347K 
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-10-25 19:02 190K 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 19:02 8.8K 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 19:02 3.8M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 19:02 3.4M 
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-10-25 19:02 1.4M 
[   ]ocaml-labltk-8.06.12-r2.apk2024-10-25 19:02 2.9M 
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-10-25 19:02 1.5M 
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-10-25 19:02 887K 
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-10-25 19:02 14M 
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-10-25 19:02 7.9M 
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-10-25 19:02 447K 
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-10-25 19:02 68K 
[   ]ocaml-jsonm-1.0.2-r0.apk2024-10-25 19:02 119K 
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-10-25 19:02 88K 
[   ]ocaml-iso8601-0.2.6-r0.apk2024-10-25 19:02 53K 
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-10-25 19:02 774K 
[   ]ocaml-iri-1.0.0-r0.apk2024-10-25 19:02 1.8M 
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-10-25 19:02 561K 
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-10-25 19:02 314K 
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-10-25 19:02 273K 
[   ]ocaml-integers-0.7.0-r2.apk2024-10-25 19:02 127K 
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-10-25 19:02 19K 
[   ]ocaml-hkdf-1.0.4-r2.apk2024-10-25 19:02 14K 
[   ]ocaml-higlo-dev-0.9-r0.apk2024-10-25 19:02 679K 
[   ]ocaml-higlo-0.9-r0.apk2024-10-25 19:02 4.9M 
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-10-25 19:02 54K 
[   ]ocaml-hex-1.5.0-r2.apk2024-10-25 19:02 30K 
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-10-25 19:02 2.4M 
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-10-25 19:02 60K 
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-10-25 19:02 43K 
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-10-25 19:02 148K 
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-10-25 19:02 84K 
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-10-25 19:02 75K 
[   ]ocaml-gmap-0.3.0-r2.apk2024-10-25 19:02 37K 
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-10-25 19:02 11M 
[   ]ocaml-gitlab-0.1.8-r0.apk2024-10-25 19:02 2.9M 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 19:02 19K 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 19:02 735K 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 19:02 3.4M 
[   ]ocaml-gen-dev-1.1-r1.apk2024-10-25 19:02 612K 
[   ]ocaml-gen-1.1-r1.apk2024-10-25 19:02 323K 
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-10-25 19:02 101K 
[   ]ocaml-fpath-0.7.3-r2.apk2024-10-25 19:02 138K 
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-10-25 19:02 124K 
[   ]ocaml-fmt-0.9.0-r2.apk2024-10-25 19:02 190K 
[   ]ocaml-fix-dev-20220121-r2.apk2024-10-25 19:02 434K 
[   ]ocaml-fix-20220121-r2.apk2024-10-25 19:02 167K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 19:02 16K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 19:02 606K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 19:02 313K 
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-10-25 19:02 53K 
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-10-25 19:02 32K 
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-10-25 19:02 11K 
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-10-25 19:02 1.3M 
[   ]ocaml-extlib-1.7.9-r2.apk2024-10-25 19:02 638K 
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-10-25 19:02 84K 
[   ]ocaml-ethernet-3.0.0-r3.apk2024-10-25 19:02 44K 
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-10-25 19:02 1.6M 
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-10-25 19:02 1.3M 
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-10-25 19:02 791K 
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-10-25 19:02 582K 
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-10-25 19:02 122K 
[   ]ocaml-eqaf-0.8-r2.apk2024-10-25 19:02 69K 
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-10-25 19:02 113K 
[   ]ocaml-easy-format-1.3.4-r1.apk2024-10-25 19:02 61K 
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-10-25 19:02 44K 
[   ]ocaml-duration-0.2.0-r2.apk2024-10-25 19:02 26K 
[   ]ocaml-down-dev-0.1.0-r3.apk2024-10-25 19:02 276K 
[   ]ocaml-down-0.1.0-r3.apk2024-10-25 19:02 605K 
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-10-25 19:02 141K 
[   ]ocaml-domain-name-0.4.0-r2.apk2024-10-25 19:02 74K 
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-10-25 19:02 11M 
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-10-25 19:02 4.1M 
[   ]ocaml-dns-6.2.2-r3.apk2024-10-25 19:02 2.2M 
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-10-25 19:02 310K 
[   ]ocaml-curses-1.0.10-r2.apk2024-10-25 19:02 138K 
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-10-25 19:02 872K 
[   ]ocaml-ctypes-0.20.1-r2.apk2024-10-25 19:02 878K 
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-10-25 19:02 705K 
[   ]ocaml-cstruct-6.1.0-r3.apk2024-10-25 19:02 4.1M 
[   ]ocaml-cpdf-2.8.1-r0.apk2025-05-10 03:12 4.8M 
[   ]ocaml-containers-top-3.7-r2.apk2024-10-25 19:02 23K 
[   ]ocaml-containers-dev-3.7-r2.apk2024-10-25 19:02 6.7M 
[   ]ocaml-containers-3.7-r2.apk2024-10-25 19:02 3.5M 
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-10-25 19:02 546K 
[   ]ocaml-conduit-6.1.0-r0.apk2024-10-25 19:02 289K 
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-10-25 19:02 105K 
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-10-25 19:02 77K 
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-10-25 19:02 7.6M 
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-10-25 19:02 1.3M 
[   ]ocaml-cohttp-5.3.1-r0.apk2024-10-25 19:02 670K 
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-10-25 19:02 20K 
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-10-25 19:02 245K 
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-10-25 19:02 469K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 19:02 190K 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 19:02 104K 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 19:02 2.5M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 19:02 5.1M 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 19:02 1.3M 
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-10-25 19:02 217K 
[   ]ocaml-camlzip-1.11-r2.apk2024-10-25 19:02 114K 
[   ]ocaml-camlpdf-2.8.1-r0.apk2025-05-10 03:12 6.0M 
[   ]ocaml-calendar-doc-2.04-r4.apk2024-10-25 19:02 12K 
[   ]ocaml-calendar-dev-2.04-r4.apk2024-10-25 19:02 147K 
[   ]ocaml-calendar-2.04-r4.apk2024-10-25 19:02 217K 
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-10-25 19:02 441K 
[   ]ocaml-cairo2-0.6.2-r2.apk2024-10-25 19:02 164K 
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-10-25 19:02 1.5M 
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-10-25 19:02 448K 
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-10-25 19:02 395K 
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-10-25 19:02 41K 
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-10-25 19:02 29K 
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-10-25 19:02 355K 
[   ]ocaml-bos-0.2.1-r2.apk2024-10-25 19:02 463K 
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-10-25 19:02 573K 
[   ]ocaml-bitstring-4.1.0-r3.apk2024-10-25 19:02 4.0M 
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-10-25 19:02 552K 
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-10-25 19:02 4.6M 
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-10-25 19:02 368K 
[   ]ocaml-biniou-1.2.1-r5.apk2024-10-25 19:02 570K 
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-10-25 19:02 92K 
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-10-25 19:02 47K 
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-10-25 19:02 12K 
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-10-25 19:02 12K 
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-10-25 19:02 177K 
[   ]ocaml-base64-3.5.0-r2.apk2024-10-25 19:02 91K 
[   ]ocaml-base-dev-0.16.3-r0.apk2024-10-25 19:02 9.7M 
[   ]ocaml-base-0.16.3-r0.apk2024-10-25 19:02 4.6M 
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-10-25 19:02 1.9M 
[   ]ocaml-atd-2.15.0-r0.apk2024-10-25 19:02 6.8M 
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-10-25 19:02 185K 
[   ]ocaml-astring-0.8.5-r2.apk2024-10-25 19:02 287K 
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-10-25 19:02 577K 
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-10-25 19:02 316K 
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-10-25 19:02 160K 
[   ]ocaml-arp-3.0.0-r3.apk2024-10-25 19:02 85K 
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-10-25 19:02 344K 
[   ]ocaml-angstrom-0.16.0-r0.apk2024-10-25 19:02 179K 
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-10-25 19:02 1.0M 
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-10-25 19:02 605K 
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-10-25 19:02 831K 
[   ]ocaml-alcotest-1.5.0-r4.apk2024-10-25 19:02 464K 
[   ]obnc-doc-0.17.2-r0.apk2025-05-25 23:37 33K 
[   ]obnc-0.17.2-r0.apk2025-05-25 23:37 139K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-02 03:03 317K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-02 03:03 99K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-02 03:03 33K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 19:02 251K 
[   ]oauth2-proxy-openrc-7.8.1-r2.apk2025-05-14 00:10 2.1K 
[   ]oauth2-proxy-7.8.1-r2.apk2025-05-14 00:10 9.1M 
[   ]nzbget-openrc-25.0-r0.apk2025-05-14 00:10 2.1K 
[   ]nzbget-25.0-r0.apk2025-05-14 00:10 5.1M 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-10-25 19:02 1.7K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-10-25 19:02 127K 
[   ]nwipe-doc-0.38-r0.apk2025-06-19 17:34 3.8K 
[   ]nwipe-0.38-r0.apk2025-06-19 17:34 270K 
[   ]nwg-panel-pyc-0.10.7-r0.apk2025-06-13 15:34 268K 
[   ]nwg-panel-doc-0.10.7-r0.apk2025-06-13 15:34 4.4K 
[   ]nwg-panel-0.10.7-r0.apk2025-06-13 15:34 282K 
[   ]nwg-dock-0.4.3-r0.apk2025-06-21 14:28 1.8M 
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-10-25 19:02 35K 
[   ]nwg-displays-0.3.13-r1.apk2024-10-25 19:02 24K 
[   ]nwg-bar-0.1.6-r10.apk2025-05-14 00:10 1.6M 
[   ]nvtop-doc-3.2.0-r0.apk2025-04-29 23:39 3.5K 
[   ]nvtop-3.2.0-r0.apk2025-04-29 23:39 62K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 19:02 1.8K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 19:02 4.4K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 19:02 13K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 03:58 7.1K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 03:58 27K 
[   ]nvim-treesitter-doc-0.10.0-r0.apk2025-06-02 03:03 31K 
[   ]nvim-treesitter-0.10.0-r0.apk2025-06-02 03:03 412K 
[   ]nvim-plenary-doc-0.1.4_git20240917-r0.apk2024-11-11 04:07 10K 
[   ]nvim-plenary-0.1.4_git20240917-r0.apk2024-11-11 04:07 102K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 19:02 21K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 19:02 46K 
[   ]nvim-lualine-doc-0.0.0_git20241101-r0.apk2024-11-13 23:12 31K 
[   ]nvim-lualine-0.0.0_git20241101-r0.apk2024-11-13 23:12 60K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 19:02 2.8K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 19:02 10K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 19:02 2.0K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 19:02 3.8K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 19:02 2.0K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 19:02 3.5K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 19:02 2.6K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 19:02 3.5K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 19:02 10K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 19:02 1.8K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 19:02 3.3K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 19:02 4.2K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 19:02 7.8K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 19:02 55K 
[   ]nvidia-src-535.86.05-r1.apk2025-01-09 11:46 12M 
[   ]nuzzle-doc-1.6-r0.apk2025-01-17 15:06 3.2K 
[   ]nuzzle-1.6-r0.apk2025-01-17 15:06 12K 
[   ]numbat-doc-1.9.0-r0.apk2024-10-25 19:02 25K 
[   ]numbat-1.9.0-r0.apk2024-10-25 19:02 1.0M 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 19:02 1.6K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 19:02 10K 
[   ]nullmailer-2.2-r4.apk2024-10-25 19:02 122K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 19:02 42K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 19:02 220K 
[   ]nuclei-doc-3.4.5-r0.apk2025-06-21 19:46 2.3K 
[   ]nuclei-3.4.5-r0.apk2025-06-21 19:46 29M 
[   ]ntpd-rs-openrc-1.4.0-r0.apk2024-12-14 21:23 1.8K 
[   ]ntpd-rs-doc-1.4.0-r0.apk2024-12-14 21:23 23K 
[   ]ntpd-rs-1.4.0-r0.apk2024-12-14 21:23 2.7M 
[   ]nsq-1.3.0-r10.apk2025-05-14 00:10 26M 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 19:02 2.6K 
[   ]nsnake-3.0.0-r0.apk2024-10-25 19:02 9.6K 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-25 19:02 3.4M 
[   ]nsh-0.4.2-r1.apk2024-10-25 19:02 613K 
[   ]notification-daemon-3.20.0-r0.apk2024-10-25 19:02 60K 
[   ]normaliz-libs-3.10.4-r2.apk2025-06-13 04:57 2.7M 
[   ]normaliz-dev-3.10.4-r2.apk2025-06-13 04:57 73K 
[   ]normaliz-3.10.4-r2.apk2025-06-13 04:57 43K 
[   ]nomadnet-pyc-0.7.0-r0.apk2025-05-27 21:32 284K 
[   ]nomadnet-0.7.0-r0.apk2025-05-27 21:32 143K 
[   ]nom-doc-2.8.0-r3.apk2025-05-14 00:10 4.0K 
[   ]nom-2.8.0-r3.apk2025-05-14 00:10 7.1M 
[   ]noice-doc-0.8-r1.apk2024-10-25 19:02 3.4K 
[   ]noice-0.8-r1.apk2024-10-25 19:02 9.6K 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 19:02 1.7M 
[   ]noggin-model-0.1-r0.apk2024-10-25 19:02 12M 
[   ]noggin-doc-0.1-r17.apk2025-05-14 00:10 2.9K 
[   ]noggin-0.1-r17.apk2025-05-14 00:10 1.4M 
[   ]node-libpg-query-13.1.2-r5.apk2024-10-25 19:02 18K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 19:02 400K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 12:00 808K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 12:00 2.0K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 12:00 20K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-10-25 19:02 27K 
[   ]nm-tray-0.5.0-r0.apk2024-10-25 19:02 99K 
[   ]nlopt-octave-2.10.0-r1.apk2025-06-04 22:33 27K 
[   ]nlopt-guile-2.10.0-r1.apk2025-06-04 22:33 42K 
[   ]nlopt-doc-2.10.0-r1.apk2025-06-04 22:33 23K 
[   ]nlopt-dev-2.10.0-r1.apk2025-06-04 22:33 12K 
[   ]nlopt-2.10.0-r1.apk2025-06-04 22:33 187K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 19:02 7.0K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 19:02 2.9K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 19:02 15K 
[   ]nixpacks-0.1.7-r1.apk2024-10-25 19:02 901K 
[   ]nitrocli-doc-0.4.1-r3.apk2024-10-25 19:02 8.8K 
[   ]nitrocli-bash-completion-0.4.1-r3.apk2024-10-25 19:02 3.2K 
[   ]nitrocli-0.4.1-r3.apk2024-10-25 19:02 431K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 19:02 190K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 19:02 503K 
[   ]nicotine-plus-pyc-3.3.10-r0.apk2025-05-01 18:06 789K 
[   ]nicotine-plus-lang-3.3.10-r0.apk2025-05-01 18:06 757K 
[   ]nicotine-plus-doc-3.3.10-r0.apk2025-05-01 18:06 2.6K 
[   ]nicotine-plus-3.3.10-r0.apk2025-05-01 18:06 1.6M 
[   ]ngs-vim-0.2.14-r0.apk2024-10-25 19:02 4.9K 
[   ]ngs-aws-0.2.14-r0.apk2024-10-25 19:02 33K 
[   ]ngs-0.2.14-r0.apk2024-10-25 19:02 293K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 19:02 21K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 19:02 713K 
[   ]nfoview-doc-2.1-r0.apk2025-04-13 21:31 8.0K 
[   ]nfoview-2.1-r0.apk2025-04-13 21:31 39K 
[   ]nfcd-dev-1.2.2-r0.apk2025-05-10 03:12 24K 
[   ]nfcd-1.2.2-r0.apk2025-05-10 03:12 303K 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 19:02 69M 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 19:02 1.5M 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 19:02 744K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 19:02 25M 
[   ]nextpnr-0.7-r0.apk2024-10-25 19:02 1.4K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 19:02 24K 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 19:02 18K 
[   ]newlib-esp-xtensa-none-elf-0_git20240109-r0.apk2024-10-25 19:02 1.1M 
[   ]newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk2024-10-25 19:02 4.5M 
[   ]newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk2024-10-25 19:02 4.3M 
[   ]newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk2024-10-25 19:02 4.3M 
[   ]newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk2024-10-25 19:02 4.3M 
[   ]newlib-esp-0_git20240109-r0.apk2024-10-25 19:02 1.5K 
[   ]networkmanager-openconnect-lang-1.2.10-r1.apk2025-04-07 22:13 1.0M 
[   ]networkmanager-openconnect-1.2.10-r1.apk2025-04-07 22:13 63K 
[   ]netstandard21-targeting-pack-6.0.136-r1.apk2024-11-25 04:50 1.9M 
[   ]netsed-1.3-r3.apk2024-10-25 19:02 10K 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-25 19:02 3.3K 
[   ]netscanner-0.5.1-r1.apk2024-10-25 19:02 3.5M 
[   ]netdiscover-doc-0.20-r0.apk2025-05-17 21:48 4.2K 
[   ]netdiscover-0.20-r0.apk2025-05-17 21:48 455K 
[   ]net-predictable-doc-1.5.1-r0.apk2025-05-27 21:25 2.2K 
[   ]net-predictable-1.5.1-r0.apk2025-05-27 21:25 957K 
[   ]nerdlog-doc-1.10.0-r0.apk2025-06-09 07:53 13K 
[   ]nerdlog-1.10.0-r0.apk2025-06-09 07:53 2.8M 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-07 11:08 6.2K 
[   ]neofetch-7.1.0-r2.apk2024-11-07 11:08 86K 
[   ]neocmakelsp-zsh-completion-0.8.22-r0.apk2025-05-05 08:20 1.8K 
[   ]neocmakelsp-fish-completion-0.8.22-r0.apk2025-05-05 08:20 1.6K 
[   ]neocmakelsp-doc-0.8.22-r0.apk2025-05-05 08:20 5.6K 
[   ]neocmakelsp-bash-completion-0.8.22-r0.apk2025-05-05 08:20 2.0K 
[   ]neocmakelsp-0.8.22-r0.apk2025-05-05 08:20 1.7M 
[   ]neo4j-client-doc-2.2.0-r3.apk2024-10-25 19:02 5.4K 
[   ]neo4j-client-2.2.0-r3.apk2024-10-25 19:02 29K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-25 19:02 23K 
[   ]neko-doc-2.3.0-r0.apk2024-11-21 02:45 20K 
[   ]neko-dev-2.3.0-r0.apk2024-11-21 02:45 10K 
[   ]neko-2.3.0-r0.apk2024-11-21 02:45 436K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 19:02 1.7K 
[   ]neard-doc-0.19-r0.apk2024-10-25 19:02 5.6K 
[   ]neard-dev-0.19-r0.apk2024-10-25 19:02 11K 
[   ]neard-0.19-r0.apk2024-10-25 19:02 133K 
[   ]ndpi-dev-4.10-r0.apk2024-10-25 19:02 879K 
[   ]ndpi-4.10-r0.apk2024-10-25 19:02 1.3M 
[   ]ncdu2-doc-2.8-r1.apk2025-05-24 02:16 9.4K 
[   ]ncdu2-2.8-r1.apk2025-05-24 02:16 285K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 19:02 9.4K 
[   ]nbsdgames-5-r0.apk2024-10-25 19:02 101K 
[   ]nb-zsh-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]nb-full-7.19.1-r0.apk2025-05-24 22:10 1.3K 
[   ]nb-fish-completion-7.19.1-r0.apk2025-05-24 22:10 2.8K 
[   ]nb-doc-7.19.1-r0.apk2025-05-24 22:10 77K 
[   ]nb-bash-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]nb-7.19.1-r0.apk2025-05-24 22:10 152K 
[   ]nauty-libs-2.8.9-r0.apk2024-10-25 19:02 2.6M 
[   ]nauty-dev-2.8.9-r0.apk2024-10-25 19:02 3.4M 
[   ]nauty-2.8.9-r0.apk2024-10-25 19:02 5.5M 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 19:02 2.2K 
[   ]naabu-doc-2.3.4-r2.apk2025-05-29 12:00 2.3K 
[   ]naabu-2.3.4-r2.apk2025-05-29 12:00 9.8M 
[   ]n30f-2.0-r3.apk2024-10-25 19:02 6.9K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 19:02 76K 
[   ]musikcube-plugin-taglibreader-3.0.4-r1.apk2025-01-26 20:44 36K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r1.apk2025-01-26 20:44 27K 
[   ]musikcube-plugin-stockencoders-3.0.4-r1.apk2025-01-26 20:44 20K 
[   ]musikcube-plugin-server-3.0.4-r1.apk2025-01-26 20:44 369K 
[   ]musikcube-plugin-openmpt-3.0.4-r1.apk2025-01-26 20:44 31K 
[   ]musikcube-plugin-mpris-3.0.4-r1.apk2025-01-26 20:44 21K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r1.apk2025-01-26 20:44 79K 
[   ]musikcube-plugin-all-3.0.4-r1.apk2025-01-26 20:44 1.3K 
[   ]musikcube-dev-3.0.4-r1.apk2025-01-26 20:44 19K 
[   ]musikcube-3.0.4-r1.apk2025-01-26 20:44 2.3M 
[   ]muse-doc-4.2.1-r2.apk2025-05-14 00:10 4.1M 
[   ]muse-4.2.1-r2.apk2025-05-14 00:10 6.1M 
[   ]murex-doc-6.4.2063-r1.apk2025-05-14 00:10 296K 
[   ]murex-6.4.2063-r1.apk2025-05-14 00:10 6.1M 
[   ]mtg-openrc-2.1.7-r21.apk2025-05-14 00:10 1.9K 
[   ]mtg-2.1.7-r21.apk2025-05-14 00:10 4.7M 
[   ]mstflint-doc-4.26.0.1-r0.apk2024-10-25 19:02 18K 
[   ]mstflint-4.26.0.1-r0.apk2024-10-25 19:02 4.1M 
[   ]msr-tools-1.3-r1.apk2024-10-25 19:02 9.9K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 19:02 14K 
[   ]mspdebug-0.25-r1.apk2024-10-25 19:02 202K 
[   ]msh-openrc-2.5.0-r12.apk2025-05-14 00:10 2.0K 
[   ]msh-2.5.0-r12.apk2025-05-14 00:10 3.0M 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 19:02 7.3K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 19:02 22K 
[   ]msgpuck-2.0-r1.apk2024-10-25 19:02 1.2K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 19:02 59K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 19:02 10K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 19:02 205K 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 19:02 5.7K 
[   ]mqtt2prometheus-0.1.7-r16.apk2025-05-14 00:10 4.6M 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-16 15:16 1.5M 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 19:02 2.3K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 19:02 15K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 19:02 15K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 19:02 2.9K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 19:02 13K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 19:02 55K 
[   ]mpdcron-0.3-r1.apk2024-10-25 19:02 99K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 19:02 13K 
[   ]motion-openrc-4.7.0-r0.apk2024-10-25 19:02 2.2K 
[   ]motion-lang-4.7.0-r0.apk2024-10-25 19:02 471K 
[   ]motion-doc-4.7.0-r0.apk2024-10-25 19:02 140K 
[   ]motion-4.7.0-r0.apk2024-10-25 19:02 143K 
[   ]morph-browser-lang-1.1.2-r0.apk2025-01-26 00:11 327K 
[   ]morph-browser-1.1.2-r0.apk2025-01-26 00:11 541K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-19 08:45 697K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-19 08:45 1.7K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-19 08:45 39K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-19 08:45 1.7K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-19 08:45 364K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-19 08:45 95K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-19 08:45 636K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-19 08:45 1.7K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-19 08:45 202K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-19 08:45 2.0K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-19 08:45 7.8K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-19 08:45 121K 
[   ]moosefs-4.56.6-r2.apk2025-06-19 08:45 264K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 19:02 7.1K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 19:02 36K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 11:13 1.7K 
[   ]monopd-0.10.4-r0.apk2025-01-11 11:13 91K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 19:02 89K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 19:02 177K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 19:02 321K 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 19:02 77K 
[   ]monetdb-11.33.11-r4.apk2024-10-25 19:02 2.4M 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 19:02 114M 
[   ]moe-doc-1.14-r0.apk2024-10-25 19:02 19K 
[   ]moe-1.14-r0.apk2024-10-25 19:02 104K 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 19:02 129K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 19:02 3.9M 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 19:02 343K 
[   ]mod_dnssd-0.6-r0.apk2024-10-25 19:02 8.3K 
[   ]mobroute-doc-0.9.0-r5.apk2025-05-14 00:10 1.3M 
[   ]mobroute-0.9.0-r5.apk2025-05-14 00:10 4.7M 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 19:02 5.2K 
[   ]mobpass-0.2-r6.apk2024-10-25 19:02 18K 
[   ]mnemosyne-pyc-2.10.1-r1.apk2024-10-25 19:02 628K 
[   ]mnemosyne-lang-2.10.1-r1.apk2024-10-25 19:02 439K 
[   ]mnemosyne-2.10.1-r1.apk2024-10-25 19:02 607K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 19:02 60K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 19:02 32K 
[   ]mml-zsh-completion-1.0.0-r0.apk2024-10-25 19:02 2.8K 
[   ]mml-fish-completion-1.0.0-r0.apk2024-10-25 19:02 2.3K 
[   ]mml-doc-1.0.0-r0.apk2024-10-25 19:02 3.9K 
[   ]mml-bash-completion-1.0.0-r0.apk2024-10-25 19:02 2.3K 
[   ]mml-1.0.0-r0.apk2024-10-25 19:02 862K 
[   ]mmix-0_git20221025-r0.apk2024-10-25 19:02 157K 
[   ]mmar-0.2.5-r1.apk2025-05-14 00:10 2.7M 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 19:02 14K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 19:02 13K 
[   ]mm-common-doc-1.0.5-r0.apk2024-10-25 19:02 32K 
[   ]mm-common-1.0.5-r0.apk2024-10-25 19:02 473K 
[   ]mm-1.4.2-r1.apk2024-10-25 19:02 7.9K 
[   ]mlxl-0.1-r0.apk2024-10-25 19:02 6.0K 
[   ]mlir-next-static-21.0.0_pre20250617-r0.apk2025-06-18 06:46 44M 
[   ]mlir-next-libs-21.0.0_pre20250617-r0.apk2025-06-18 06:46 16M 
[   ]mlir-next-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 5.8M 
[   ]mlir-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 17M 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 19:02 2.5K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 19:02 9.8K 
[   ]mkrundir-0.4.0-r1.apk2024-11-29 00:08 75K 
[   ]mkosi-pyc-25.3-r0.apk2025-02-02 00:32 393K 
[   ]mkosi-25.3-r0.apk2025-02-02 00:32 251K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 19:02 3.1K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 19:02 14K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 19:02 944K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 19:02 248K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 19:02 11K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 19:02 644K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 19:02 651K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 19:02 249K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 19:02 4.7K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 19:02 538K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 19:02 791K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 19:02 260K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 19:02 1.8K 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 19:02 29K 
[   ]mkcert-1.4.4-r19.apk2025-05-14 00:10 1.8M 
[   ]mkbrr-1.13.0-r0.apk2025-06-17 22:09 4.2M 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-14 15:03 178K 
[   ]mitra-openrc-4.5.1-r0.apk2025-06-24 10:02 1.9K 
[   ]mitra-doc-4.5.1-r0.apk2025-06-24 10:02 26K 
[   ]mitra-4.5.1-r0.apk2025-06-24 10:02 6.5M 
[   ]mirrorhall-0.1.1-r1.apk2025-01-14 15:29 26K 
[   ]mir-test-tools-2.20.2-r2.apk2025-06-26 14:06 271K 
[   ]mir-dev-2.20.2-r2.apk2025-06-26 14:06 7.6M 
[   ]mir-demos-2.20.2-r2.apk2025-06-26 14:06 144K 
[   ]mir-2.20.2-r2.apk2025-06-26 14:06 2.1M 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2024-10-25 19:02 207K 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2024-10-25 19:02 58K 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2024-10-25 19:02 1.6M 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2024-10-25 19:02 1.8M 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2024-10-25 19:02 656K 
[   ]mint-y-theme-2.1.1-r0.apk2024-10-25 19:02 4.1K 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-05 22:22 11K 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-05 22:22 72M 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2024-10-25 19:02 35K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2024-10-25 19:02 6.1K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2024-10-25 19:02 510K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2024-10-25 19:02 603K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2024-10-25 19:02 490K 
[   ]mint-x-theme-2.1.1-r0.apk2024-10-25 19:02 2.3K 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-24 10:07 7.4K 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-24 10:07 22M 
[   ]mint-themes-doc-2.1.1-r0.apk2024-10-25 19:02 13K 
[   ]mint-themes-2.1.1-r0.apk2024-10-25 19:02 2.2K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 19:02 1.9K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 19:02 311K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 19:02 5.2K 
[   ]minimodem-0.24-r1.apk2024-10-25 19:02 21K 
[   ]minikube-zsh-completion-1.34.0-r5.apk2025-05-14 00:10 11K 
[   ]minikube-fish-completion-1.34.0-r5.apk2025-05-14 00:10 4.6K 
[   ]minikube-bash-completion-1.34.0-r5.apk2025-05-14 00:10 10K 
[   ]minikube-1.34.0-r5.apk2025-05-14 00:10 21M 
[   ]minigalaxy-pyc-1.3.2-r0.apk2025-05-26 11:09 94K 
[   ]minigalaxy-1.3.2-r0.apk2025-05-26 11:09 168K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 19:02 1.8K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 19:02 5.1K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 19:02 12K 
[   ]mingw-w64-libusb-1.0.28-r0.apk2025-04-10 14:09 216K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 19:02 42K 
[   ]mimeo-2023-r2.apk2024-10-25 19:02 28K 
[   ]mimedefang-doc-3.6-r0.apk2025-03-02 12:15 80K 
[   ]mimedefang-3.6-r0.apk2025-03-02 12:15 154K 
[   ]mimalloc1-insecure-1.9.3-r1.apk2025-05-18 16:00 67K 
[   ]mimalloc1-dev-1.9.3-r1.apk2025-05-18 16:00 502K 
[   ]mimalloc1-debug-1.9.3-r1.apk2025-05-18 16:00 209K 
[   ]mimalloc1-1.9.3-r1.apk2025-05-18 16:00 74K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 19:02 50K 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 19:02 1.0M 
[   ]metee-dev-4.0.0-r0.apk2024-10-25 19:02 4.6K 
[   ]metee-4.0.0-r0.apk2024-10-25 19:02 12K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 19:02 54K 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-01-31 15:05 66K 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-01-31 15:05 1.9M 
[   ]metadata-cleaner-2.5.6-r0.apk2025-01-31 15:05 49K 
[   ]mesonlsp-4.3.7-r4.apk2025-06-19 05:35 2.1M 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 16:38 8.3K 
[   ]meson-tools-0.1-r2.apk2024-12-09 16:38 8.0K 
[   ]merlin-vim-4.14-r0.apk2024-10-25 19:02 28K 
[   ]merlin-emacs-4.14-r0.apk2024-10-25 19:02 29K 
[   ]merlin-dev-4.14-r0.apk2024-10-25 19:02 23M 
[   ]merlin-4.14-r0.apk2024-10-25 19:02 14M 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 19:02 42K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 19:02 278K 
[   ]merge-usr-0_git20250530-r0.apk2025-05-31 16:19 9.4K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 19:02 111K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 19:02 3.1K 
[   ]memdump-1.01-r1.apk2024-10-25 19:02 5.7K 
[   ]meli-doc-0.8.11-r0.apk2025-05-04 14:15 48K 
[   ]meli-0.8.11-r0.apk2025-05-04 14:15 4.7M 
[   ]melange-zsh-completion-0.26.13-r0.apk2025-06-24 20:55 4.0K 
[   ]melange-fish-completion-0.26.13-r0.apk2025-06-24 20:55 4.3K 
[   ]melange-bash-completion-0.26.13-r0.apk2025-06-24 20:55 6.7K 
[   ]melange-0.26.13-r0.apk2025-06-24 20:55 12M 
[   ]megazeux-doc-2.93d-r0.apk2025-06-10 13:49 465K 
[   ]megazeux-2.93d-r0.apk2025-06-10 13:49 1.4M 
[   ]megatools-doc-1.11.4.20250411-r0.apk2025-05-03 17:33 52K 
[   ]megatools-bash-completion-1.11.4.20250411-r0.apk2025-05-03 17:33 4.1K 
[   ]megatools-1.11.4.20250411-r0.apk2025-05-03 17:33 61K 
[   ]meep-dev-1.30.0-r0.apk2025-05-10 03:12 489K 
[   ]meep-1.30.0-r0.apk2025-05-10 03:12 637K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 19:02 7.7K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-11 11:19 11K 
[   ]mediastreamer2-doc-5.3.100-r1.apk2025-06-02 03:03 108K 
[   ]mediastreamer2-dev-5.3.100-r1.apk2025-06-02 03:03 110K 
[   ]mediastreamer2-5.3.100-r1.apk2025-06-02 03:03 359K 
[   ]mediascanner2-0.115-r3.apk2025-03-13 06:05 252K 
[   ]mdp-doc-1.0.15-r1.apk2024-10-25 19:02 3.7K 
[   ]mdp-1.0.15-r1.apk2024-10-25 19:02 17K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 19:02 17K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 19:02 2.1K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 19:02 18K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 19:02 14K 
[   ]mdnsd-0.12-r1.apk2024-10-25 19:02 24K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 18:04 2.4K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 18:04 2.1K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 18:04 6.0K 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 18:04 2.2K 
[   ]mdcat-2.7.1-r0.apk2024-12-14 18:04 3.0M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 19:02 887K 
[   ]mdbook-mermaid-0.15.0-r0.apk2025-05-17 17:30 1.7M 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-16 19:16 2.7M 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-17 17:30 1.3M 
[   ]mdbook-alerts-0.7.0-r0.apk2025-01-11 12:09 744K 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-16 23:18 1.0M 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 19:02 8.8K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 19:02 4.1K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 19:02 13K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 19:02 54K 
[   ]mcjoin-2.11-r0.apk2024-10-25 19:02 23K 
[   ]mbrola-3.3-r0.apk2024-10-25 19:02 22K 
[   ]mbpfan-openrc-2.4.0-r1.apk2024-10-25 19:02 1.6K 
[   ]mbpfan-doc-2.4.0-r1.apk2024-10-25 19:02 5.3K 
[   ]mbpfan-2.4.0-r1.apk2024-10-25 19:02 13K 
[   ]maxima-emacs-5.47.0-r8.apk2024-10-25 19:02 111K 
[   ]maxima-doc-extra-5.47.0-r8.apk2024-10-25 19:02 10M 
[   ]maxima-doc-5.47.0-r8.apk2024-10-25 19:02 761K 
[   ]maxima-bash-completion-5.47.0-r8.apk2024-10-25 19:02 2.4K 
[   ]maxima-5.47.0-r8.apk2024-10-25 19:02 22M 
[   ]mattermost-desktop-5.11.0-r2.apk2025-05-10 23:27 4.2M 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 19:02 21K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 19:02 20K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 19:02 30K 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 19:02 1.5K 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 19:02 1.7M 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 19:02 30K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 19:02 1.8K 
[   ]materia-kde-20220823-r0.apk2024-10-25 19:02 19K 
[   ]materia-gtk3-20210322-r1.apk2024-10-25 19:02 65K 
[   ]materia-gtk2-20210322-r1.apk2024-10-25 19:02 38K 
[   ]materia-gtk-theme-20210322-r1.apk2024-10-25 19:02 151K 
[   ]materia-gnome-shell-20210322-r1.apk2024-10-25 19:02 32K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 19:02 22K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 19:02 503K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 19:02 30K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 19:02 1.8K 
[   ]materia-dark-gtk3-20210322-r1.apk2024-10-25 19:02 41K 
[   ]materia-dark-gtk2-20210322-r1.apk2024-10-25 19:02 38K 
[   ]materia-dark-gnome-shell-20210322-r1.apk2024-10-25 19:02 32K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 19:02 1.5K 
[   ]materia-dark-compact-gtk3-20210322-r1.apk2024-10-25 19:02 41K 
[   ]materia-dark-compact-gtk2-20210322-r1.apk2024-10-25 19:02 38K 
[   ]materia-dark-compact-gnome-shell-20210322-r1.apk2024-10-25 19:02 32K 
[   ]materia-dark-compact-chromium-20210322-r1.apk2024-10-25 19:02 5.7K 
[   ]materia-dark-compact-20210322-r1.apk2024-10-25 19:02 1.7K 
[   ]materia-dark-chromium-20210322-r1.apk2024-10-25 19:02 5.7K 
[   ]materia-dark-20210322-r1.apk2024-10-25 19:02 1.7K 
[   ]materia-compact-gtk3-20210322-r1.apk2024-10-25 19:02 65K 
[   ]materia-compact-gtk2-20210322-r1.apk2024-10-25 19:02 38K 
[   ]materia-compact-gnome-shell-20210322-r1.apk2024-10-25 19:02 32K 
[   ]materia-compact-chromium-20210322-r1.apk2024-10-25 19:02 5.7K 
[   ]materia-compact-20210322-r1.apk2024-10-25 19:02 1.7K 
[   ]materia-chromium-20210322-r1.apk2024-10-25 19:02 5.7K 
[   ]materia-20210322-r1.apk2024-10-25 19:02 1.7K 
[   ]mat2-pyc-0.13.4-r3.apk2024-10-25 19:02 54K 
[   ]mat2-doc-0.13.4-r3.apk2024-10-25 19:02 7.7K 
[   ]mat2-0.13.4-r3.apk2024-10-25 19:02 35K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 12:00 64K 
[   ]masky-0.2.0-r2.apk2025-05-29 12:00 277K 
[   ]marxan-4.0.7-r1.apk2024-10-25 19:02 541K 
[   ]mapserver-dev-8.4.0-r2.apk2025-06-10 10:45 540K 
[   ]mapserver-8.4.0-r2.apk2025-06-10 10:45 1.3M 
[   ]mapnik-doc-4.0.6-r1.apk2025-06-10 10:45 142K 
[   ]mapnik-dev-4.0.6-r1.apk2025-06-10 10:45 486K 
[   ]mapnik-4.0.6-r1.apk2025-06-10 10:45 12M 
[   ]manifest-tool-2.2.0-r1.apk2025-05-14 00:10 4.1M 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 19:02 14K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 19:02 2.8K 
[   ]mangal-zsh-completion-4.0.6-r18.apk2025-05-14 00:10 4.0K 
[   ]mangal-fish-completion-4.0.6-r18.apk2025-05-14 00:10 3.9K 
[   ]mangal-bash-completion-4.0.6-r18.apk2025-05-14 00:10 5.0K 
[   ]mangal-4.0.6-r18.apk2025-05-14 00:10 11M 
[   ]mame-tools-0.251-r0.apk2024-10-25 19:02 2.6M 
[   ]mame-plugins-0.251-r0.apk2024-10-25 19:02 166K 
[   ]mame-mess-0.251-r0.apk2024-10-25 19:02 52M 
[   ]mame-lang-0.251-r0.apk2024-10-25 19:02 1.4M 
[   ]mame-doc-0.251-r0.apk2024-10-25 19:02 24K 
[   ]mame-data-0.251-r0.apk2024-10-25 19:02 19M 
[   ]mame-common-0.251-r0.apk2024-10-25 19:02 2.7K 
[   ]mame-arcade-0.251-r0.apk2024-10-25 19:02 68M 
[   ]mame-0.251-r0.apk2024-10-25 19:02 96M 
[   ]malcontent-doc-0.12.0-r0.apk2025-05-10 03:12 45K 
[   ]malcontent-dev-0.12.0-r0.apk2025-05-10 03:12 24K 
[   ]malcontent-0.12.0-r0.apk2025-05-10 03:12 148K 
[   ]makeself-2.5.0-r0.apk2024-10-25 19:01 13K 
[   ]makedumpfile-openrc-1.7.7-r0.apk2025-04-22 14:41 2.9K 
[   ]makedumpfile-doc-1.7.7-r0.apk2025-04-22 14:41 24K 
[   ]makedumpfile-1.7.7-r0.apk2025-04-22 14:41 186K 
[   ]makeclapman-doc-2.4.4-r5.apk2025-05-14 00:10 4.2K 
[   ]makeclapman-2.4.4-r5.apk2025-05-14 00:10 1.3M 
[   ]mailsec-check-0_git20210729-r26.apk2025-05-14 00:10 2.7M 
[   ]maildir2rss-0.0.7-r5.apk2025-05-14 00:10 3.7M 
[   ]mailctl-zsh-completion-0.9.2-r0.apk2024-10-25 19:01 2.0K 
[   ]mailctl-fish-completion-0.9.2-r0.apk2024-10-25 19:01 1.9K 
[   ]mailctl-doc-0.9.2-r0.apk2024-10-25 19:01 5.1K 
[   ]mailctl-bash-completion-0.9.2-r0.apk2024-10-25 19:01 1.8K 
[   ]mailctl-0.9.2-r0.apk2024-10-25 19:01 6.8M 
[   ]mage-1.13.0-r23.apk2025-05-14 00:10 1.6M 
[   ]maddy-vim-0.8.1-r3.apk2025-05-14 00:10 3.6K 
[   ]maddy-openrc-0.8.1-r3.apk2025-05-14 00:10 2.0K 
[   ]maddy-doc-0.8.1-r3.apk2025-05-14 00:10 2.4K 
[   ]maddy-0.8.1-r3.apk2025-05-14 00:10 9.9M 
[   ]macchina-doc-6.4.0-r0.apk2025-06-25 03:45 5.7K 
[   ]macchina-6.4.0-r0.apk2025-06-25 03:45 1.0M 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 19:01 2.0K 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 19:01 38M 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 19:01 16K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 19:01 13K 
[   ]lzfse-dev-1.0-r0.apk2024-10-25 19:01 3.4K 
[   ]lzfse-1.0-r0.apk2024-10-25 19:01 19K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 10:09 38K 
[   ]lynis-doc-3.1.1-r0.apk2024-10-25 19:01 49K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-10-25 19:01 3.0K 
[   ]lynis-3.1.1-r0.apk2024-10-25 19:01 271K 
[   ]lychee-doc-0.19.1-r0.apk2025-06-17 07:59 13K 
[   ]lychee-0.19.1-r0.apk2025-06-17 07:59 3.0M 
[   ]lxqt-wayland-session-doc-0.2.0-r0.apk2025-05-24 22:16 29K 
[   ]lxqt-wayland-session-0.2.0-r0.apk2025-05-24 22:16 336K 
[   ]lxd-vm-5.0.3-r11.apk2025-05-14 00:10 1.3K 
[   ]lxd-scripts-5.0.3-r11.apk2025-05-14 00:10 26M 
[   ]lxd-openrc-5.0.3-r11.apk2025-05-14 00:10 2.5K 
[   ]lxd-feature-scripts-5.20-r11.apk2025-05-14 00:10 2.1K 
[   ]lxd-feature-openrc-5.20-r11.apk2025-05-14 00:10 2.4K 
[   ]lxd-feature-doc-5.20-r11.apk2025-05-14 00:10 1.7K 
[   ]lxd-feature-bash-completion-5.20-r11.apk2025-05-14 00:10 5.1K 
[   ]lxd-feature-5.20-r11.apk2025-05-14 00:10 69M 
[   ]lxd-client-5.0.3-r11.apk2025-05-14 00:10 6.3M 
[   ]lxd-bash-completion-5.0.3-r11.apk2025-05-14 00:10 5.1K 
[   ]lxd-5.0.3-r11.apk2025-05-14 00:10 15M 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 19:01 81K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 19:01 2.6K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 19:01 3.2K 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 19:01 30K 
[   ]lwan-libs-0.1-r2.apk2024-10-25 19:01 70K 
[   ]lwan-dev-0.1-r2.apk2024-10-25 19:01 10K 
[   ]lwan-dbg-0.1-r2.apk2024-10-25 19:01 396K 
[   ]lwan-0.1-r2.apk2024-10-25 19:01 71K 
[   ]lutris-pyc-0.5.19-r0.apk2025-05-26 11:09 1.1M 
[   ]lutris-lang-0.5.19-r0.apk2025-05-26 11:09 810K 
[   ]lutris-doc-0.5.19-r0.apk2025-05-26 11:09 2.3K 
[   ]lutris-0.5.19-r0.apk2025-05-26 11:09 819K 
[   ]lutgen-zsh-completion-0.11.2-r0.apk2024-10-25 19:01 1.6K 
[   ]lutgen-fish-completion-0.11.2-r0.apk2024-10-25 19:01 1.7K 
[   ]lutgen-doc-0.11.2-r0.apk2024-10-25 19:01 4.2K 
[   ]lutgen-bash-completion-0.11.2-r0.apk2024-10-25 19:01 1.7K 
[   ]lutgen-0.11.2-r0.apk2024-10-25 19:01 1.6M 
[   ]lurk-doc-0.3.10-r0.apk2025-06-25 07:40 9.7K 
[   ]lurk-0.3.10-r0.apk2025-06-25 07:40 777K 
[   ]lumins-0.4.0-r2.apk2024-10-25 19:01 659K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 19:01 190K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 19:01 95K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 19:01 165K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 19:01 123K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 19:01 197K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 19:01 387K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 19:01 158K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 19:01 12K 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 19:01 814K 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 19:01 8.9M 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 19:01 164K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 19:01 1.2K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 19:01 5.5K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 19:01 3.1K 
[   ]luksmeta-9-r0.apk2024-10-25 19:01 13K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 19:01 36K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 19:01 1.2K 
[   ]luacov-0.15.0-r0.apk2024-10-25 19:01 1.4K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 19:01 9.0K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 19:01 23K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 19:01 18K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 19:01 60K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 19:01 4.6K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 19:01 6.3K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 19:01 9.0K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 19:01 413K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 19:01 23K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 19:01 18K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 19:01 61K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 19:01 4.6K 
[   ]lua5.3-apk3-3.0.0_rc4_git20250421-r0.apk2025-05-14 00:10 5.7K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 19:01 23K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 19:01 6.3K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 19:01 8.9K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 19:01 413K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 19:01 23K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 19:01 18K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 19:01 10K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 19:01 60K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 19:01 4.5K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 19:01 23K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 19:01 6.4K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 19:01 78K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 19:01 413K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 19:01 23K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 19:01 18K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 19:01 10K 
[   ]lua5.1-libguestfs-1.52.0-r3.apk2025-06-13 14:12 81K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 19:01 25K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 19:01 60K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 19:01 1.4K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 19:01 3.6K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 19:01 5.3K 
[   ]lua-psl-0.3-r0.apk2024-10-25 19:01 1.1K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 19:01 89K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 19:01 20K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 19:01 1.5K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 19:01 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 19:01 19K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 19:01 1.2K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 19:01 1.1K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 19:01 1.5K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 19:01 9.1K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 19:01 3.4K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 19:01 1.2K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 19:01 355K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 19:01 279K 
[   ]lsix-1.8.2-r0.apk2024-10-25 19:01 6.5K 
[   ]lshell-pyc-0.9.18-r11.apk2024-10-25 19:01 35K 
[   ]lshell-doc-0.9.18-r11.apk2024-10-25 19:01 25K 
[   ]lshell-0.9.18-r11.apk2024-10-25 19:01 36K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 19:01 2.5K 
[   ]lsdvd-0.17-r0.apk2024-10-25 19:01 13K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 19:01 26K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 19:01 11K 
[   ]lrcalc-2.1-r1.apk2024-10-25 19:01 11K 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-25 19:01 3.0K 
[   ]lowjs-1.6.2-r2.apk2024-10-25 19:01 1.3M 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 19:01 453K 
[   ]lout-3.42.2-r0.apk2024-10-25 19:01 1.4M 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 19:01 3.1K 
[   ]lotide-0.15.0-r0.apk2024-10-25 19:01 3.3M 
[   ]lomiri-weather-app-lang-6.0.2-r0.apk2025-03-11 12:02 214K 
[   ]lomiri-weather-app-6.0.2-r0.apk2025-03-11 12:02 299K 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-10 03:12 26K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-10 03:12 3.3K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-10 03:12 38K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r0.apk2025-01-10 10:09 96K 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r0.apk2025-01-10 10:09 168K 
[   ]lomiri-ui-toolkit-1.3.5110-r0.apk2025-01-10 10:09 1.3M 
[   ]lomiri-ui-extras-lang-0.7.0-r0.apk2025-04-11 05:47 52K 
[   ]lomiri-ui-extras-0.7.0-r0.apk2025-04-11 05:47 251K 
[   ]lomiri-trust-store-lang-2.0.2-r9.apk2025-06-26 14:06 28K 
[   ]lomiri-trust-store-dev-2.0.2-r9.apk2025-06-26 14:06 9.1K 
[   ]lomiri-trust-store-2.0.2-r9.apk2025-06-26 14:06 949K 
[   ]lomiri-thumbnailer-doc-3.0.4-r2.apk2025-02-22 14:39 1.5K 
[   ]lomiri-thumbnailer-dev-3.0.4-r2.apk2025-02-22 14:39 5.1K 
[   ]lomiri-thumbnailer-3.0.4-r2.apk2025-02-22 14:39 215K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-10 03:12 91K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-10 03:12 2.7K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-10 03:12 64K 
[   ]lomiri-telephony-service-lang-0.6.1-r1.apk2025-05-23 22:35 108K 
[   ]lomiri-telephony-service-0.6.1-r1.apk2025-05-23 22:35 1.0M 
[   ]lomiri-system-settings-lang-1.3.2-r0.apk2025-05-10 03:12 846K 
[   ]lomiri-system-settings-1.3.2-r0.apk2025-05-10 03:12 1.0M 
[   ]lomiri-sounds-22.02-r1.apk2025-03-13 06:05 18M 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 10:09 98K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 10:09 218K 
[   ]lomiri-schemas-0.1.8-r0.apk2025-05-10 03:12 10K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 10:09 92K 
[   ]lomiri-location-service-lang-3.3.0-r4.apk2025-06-26 14:06 26K 
[   ]lomiri-location-service-doc-3.3.0-r4.apk2025-06-26 14:06 2.9K 
[   ]lomiri-location-service-dev-3.3.0-r4.apk2025-06-26 14:06 30K 
[   ]lomiri-location-service-3.3.0-r4.apk2025-06-26 14:06 2.1M 
[   ]lomiri-libusermetrics-lang-1.3.3-r1.apk2025-02-22 14:39 43K 
[   ]lomiri-libusermetrics-doc-1.3.3-r1.apk2025-02-22 14:39 228K 
[   ]lomiri-libusermetrics-dev-1.3.3-r1.apk2025-02-22 14:39 7.8K 
[   ]lomiri-libusermetrics-1.3.3-r1.apk2025-02-22 14:39 162K 
[   ]lomiri-lang-0.5.0-r0.apk2025-05-10 03:12 269K 
[   ]lomiri-indicator-network-lang-1.1.1-r0.apk2025-05-10 03:12 196K 
[   ]lomiri-indicator-network-doc-1.1.1-r0.apk2025-05-10 03:12 2.1K 
[   ]lomiri-indicator-network-dev-1.1.1-r0.apk2025-05-10 03:12 9.5K 
[   ]lomiri-indicator-network-1.1.1-r0.apk2025-05-10 03:12 602K 
[   ]lomiri-indicator-location-lang-25.4.22-r0.apk2025-05-19 13:10 27K 
[   ]lomiri-indicator-location-25.4.22-r0.apk2025-05-19 13:10 28K 
[   ]lomiri-history-service-dev-0.6-r6.apk2025-05-23 22:35 11K 
[   ]lomiri-history-service-0.6-r6.apk2025-05-23 22:35 346K 
[   ]lomiri-gallery-app-lang-3.0.2-r1.apk2025-03-13 06:05 106K 
[   ]lomiri-gallery-app-3.0.2-r1.apk2025-03-13 06:05 3.7M 
[   ]lomiri-filemanager-app-lang-1.0.4-r1.apk2025-03-13 06:05 171K 
[   ]lomiri-filemanager-app-1.0.4-r1.apk2025-03-13 06:05 323K 
[   ]lomiri-download-manager-lang-0.2.1-r0.apk2025-04-13 23:26 30K 
[   ]lomiri-download-manager-doc-0.2.1-r0.apk2025-04-13 23:26 3.4M 
[   ]lomiri-download-manager-dev-0.2.1-r0.apk2025-04-13 23:26 17K 
[   ]lomiri-download-manager-0.2.1-r0.apk2025-04-13 23:26 578K 
[   ]lomiri-docviewer-app-lang-3.0.4-r2.apk2025-03-13 06:05 116K 
[   ]lomiri-docviewer-app-doc-3.0.4-r2.apk2025-03-13 06:05 2.0K 
[   ]lomiri-docviewer-app-3.0.4-r2.apk2025-03-13 06:05 216K 
[   ]lomiri-content-hub-lang-2.1.0-r0.apk2025-04-13 22:06 47K 
[   ]lomiri-content-hub-doc-2.1.0-r0.apk2025-04-13 22:06 1.4M 
[   ]lomiri-content-hub-dev-2.1.0-r0.apk2025-04-13 22:06 11K 
[   ]lomiri-content-hub-2.1.0-r0.apk2025-04-13 22:06 276K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-10 03:12 456K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-10 03:12 220K 
[   ]lomiri-calculator-app-lang-4.0.2-r1.apk2025-03-13 06:05 34K 
[   ]lomiri-calculator-app-4.0.2-r1.apk2025-03-13 06:05 374K 
[   ]lomiri-app-launch-dev-0.1.12-r0.apk2025-05-10 03:12 20K 
[   ]lomiri-app-launch-0.1.12-r0.apk2025-05-10 03:12 324K 
[   ]lomiri-api-dev-0.2.2-r1.apk2025-02-22 14:39 32K 
[   ]lomiri-api-0.2.2-r1.apk2025-02-22 14:39 32K 
[   ]lomiri-action-api-dev-1.2.0-r0.apk2025-04-11 05:47 5.0K 
[   ]lomiri-action-api-1.2.0-r0.apk2025-04-11 05:47 77K 
[   ]lomiri-0.5.0-r0.apk2025-05-10 03:12 3.9M 
[   ]lolcat-1.4-r0.apk2024-10-25 19:01 8.9K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 19:01 6.5K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 19:01 432K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 19:01 38K 
[   ]logwatch-7.10-r1.apk2024-10-25 19:01 483K 
[   ]logtop-libs-0.7-r0.apk2024-10-25 19:01 14K 
[   ]logtop-doc-0.7-r0.apk2024-10-25 19:01 2.8K 
[   ]logtop-0.7-r0.apk2024-10-25 19:01 13K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 19:01 5.5K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 19:01 1.5K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 19:01 3.3K 
[   ]logc-dev-0.5.0-r1.apk2025-06-13 14:12 8.6K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 19:01 3.9K 
[   ]logc-config-0.5.0-r1.apk2025-06-13 14:12 4.9K 
[   ]logc-argp-0.5.0-r1.apk2025-06-13 14:12 16K 
[   ]logc-0.5.0-r1.apk2025-06-13 14:12 8.0K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-22 14:39 135K 
[   ]log4cxx-1.1.0-r3.apk2025-02-22 14:39 505K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 19:01 39K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 19:01 71K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 19:01 5.4K 
[   ]llvm-next-test-utils-21.0.0_pre20250617-r0.apk2025-06-18 06:46 588K 
[   ]llvm-next-static-21.0.0_pre20250617-r0.apk2025-06-18 06:46 64M 
[   ]llvm-next-runtime-21.0.0_pre20250617-r0.apk2025-06-18 06:46 474K 
[   ]llvm-next-polly-21.0.0_pre20250617-r0.apk2025-06-18 06:46 2.5M 
[   ]llvm-next-openmp-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 399K 
[   ]llvm-next-openmp-21.0.0_pre20250617-r0.apk2025-06-18 06:46 589K 
[   ]llvm-next-offload-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 362K 
[   ]llvm-next-offload-21.0.0_pre20250617-r0.apk2025-06-18 06:46 220K 
[   ]llvm-next-lit-pyc-21.0.0_pre20250617-r0.apk2025-06-18 06:46 155K 
[   ]llvm-next-lit-21.0.0_pre20250617-r0.apk2025-06-18 06:46 92K 
[   ]llvm-next-linker-tools-21.0.0_pre20250617-r0.apk2025-06-18 06:46 1.3M 
[   ]llvm-next-libunwind-static-21.0.0_pre20250617-r0.apk2025-06-18 06:46 25K 
[   ]llvm-next-libunwind-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 20K 
[   ]llvm-next-libunwind-21.0.0_pre20250617-r0.apk2025-06-18 06:46 17K 
[   ]llvm-next-libs-21.0.0_pre20250617-r0.apk2025-06-18 06:46 32M 
[   ]llvm-next-libgcc-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 2.5K 
[   ]llvm-next-libgcc-21.0.0_pre20250617-r0.apk2025-06-18 06:46 54K 
[   ]llvm-next-libc++-static-21.0.0_pre20250617-r0.apk2025-06-18 06:46 688K 
[   ]llvm-next-libc++-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 1.7M 
[   ]llvm-next-libc++-21.0.0_pre20250617-r0.apk2025-06-18 06:46 369K 
[   ]llvm-next-gtest-21.0.0_pre20250617-r0.apk2025-06-18 06:46 460K 
[   ]llvm-next-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 8.8M 
[   ]llvm-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 22M 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 19:01 1.9K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 19:01 3.0K 
[   ]llmnrd-0.7-r1.apk2024-10-25 19:01 16K 
[   ]lldb-next-pyc-21.0.0_pre20250617-r0.apk2025-06-18 06:46 289K 
[   ]lldb-next-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 773K 
[   ]lldb-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 6.3M 
[   ]lldap-0.6.1-r0.apk2025-03-14 17:12 9.9M 
[   ]lld-next-libs-21.0.0_pre20250617-r0.apk2025-06-18 06:46 1.8M 
[   ]lld-next-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 18K 
[   ]lld-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 7.7K 
[   ]lkrg-doc-0.9.6-r1.apk2025-01-09 11:44 22K 
[   ]lkrg-0.9.6-r1.apk2025-01-09 11:44 105K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-19 08:45 1.6K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-19 08:45 126K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-19 08:45 1.6K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-19 08:45 842K 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-19 08:45 11K 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-19 08:45 1.1M 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-19 08:45 1.7K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-19 08:45 311K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-19 08:45 2.0K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-19 08:45 7.4K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-19 08:45 31K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-19 08:45 1.9K 
[   ]lizardfs-3.13.0-r17.apk2025-06-19 08:45 106K 
[   ]litterbox-doc-1.9-r1.apk2024-10-25 19:01 7.3K 
[   ]litterbox-1.9-r1.apk2024-10-25 19:01 35K 
[   ]litehtml-static-0.9-r2.apk2025-02-22 14:39 511K 
[   ]litehtml-dev-0.9-r2.apk2025-02-22 14:39 42K 
[   ]litehtml-0.9-r2.apk2025-02-22 14:39 320K 
[   ]liquid-dsp-dev-1.5.0-r0.apk2024-10-25 19:01 526K 
[   ]liquid-dsp-1.5.0-r0.apk2024-10-25 19:01 353K 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 19:01 57K 
[   ]liquibase-4.9.1-r0.apk2024-10-25 19:01 32M 
[   ]linuxwave-doc-0.3.0-r0.apk2025-05-26 18:25 3.3K 
[   ]linuxwave-0.3.0-r0.apk2025-05-26 18:25 183K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-17 17:29 19K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-17 17:29 35K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-17 17:29 16K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-17 17:29 77K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-17 17:29 35K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-17 17:29 9.5K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-17 17:29 36K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-17 17:29 32K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-17 17:29 4.3K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-17 17:29 38K 
[   ]linuxptp-4.4-r0.apk2024-11-17 17:29 1.2K 
[   ]linuxkit-doc-1.6.0-r0.apk2025-05-16 09:05 10K 
[   ]linuxkit-1.6.0-r0.apk2025-05-16 09:05 14M 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 19:01 5.1K 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 19:01 197K 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 19:01 2.9M 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 19:01 250K 
[   ]linphone-5.3.38-r0.apk2024-10-25 19:01 9.0M 
[   ]linkquisition-1.6.1-r5.apk2025-05-14 00:10 12M 
[   ]linkchecker-pyc-10.5.0-r0.apk2025-05-18 23:10 254K 
[   ]linkchecker-doc-10.5.0-r0.apk2025-05-18 23:10 39K 
[   ]linkchecker-10.5.0-r0.apk2025-05-18 23:10 181K 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 19:01 1.2M 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 19:01 8.4K 
[   ]limnoria-20240828-r0.apk2024-10-25 19:01 1.1M 
[   ]limkd-doc-0.1.2-r0.apk2024-10-25 19:01 3.0K 
[   ]limkd-0.1.2-r0.apk2024-10-25 19:01 89K 
[   ]lima-zsh-completion-1.0.7-r2.apk2025-05-14 00:10 4.0K 
[   ]lima-guestagent-1.0.7-r2.apk2025-05-14 00:10 14M 
[   ]lima-fish-completion-1.0.7-r2.apk2025-05-14 00:10 4.3K 
[   ]lima-doc-1.0.7-r2.apk2025-05-14 00:10 51K 
[   ]lima-bash-completion-1.0.7-r2.apk2025-05-14 00:10 6.1K 
[   ]lima-1.0.7-r2.apk2025-05-14 00:10 11M 
[   ]lidarr-openrc-2.12.4.4658-r0.apk2025-06-17 22:14 2.0K 
[   ]lidarr-2.12.4.4658-r0.apk2025-06-17 22:14 20M 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 19:01 18K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 19:01 18K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-12 01:07 280K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-12 01:07 14K 
[   ]libzvbi-0.2.44-r0.apk2025-03-12 01:07 217K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 21:55 38K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 21:55 157K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 19:01 49K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 19:01 8.2K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 19:01 46K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 22:45 63K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 22:45 74K 
[   ]libxo-1.7.5-r0.apk2025-01-12 22:45 177K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-22 14:39 29K 
[   ]libxml++-5.4.0-r0.apk2025-02-22 14:39 63K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 19:01 1.7K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 19:01 1.5K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 19:01 4.3K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 19:01 28K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 19:01 9.0K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 19:01 76K 
[   ]libwasmtime-static-28.0.1-r0.apk2025-01-19 14:14 4.5M 
[   ]libwasmtime-28.0.1-r0.apk2025-01-19 14:14 2.5M 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 19:01 5.7K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 19:01 9.9K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 19:01 121K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 19:01 215K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 19:01 362K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 19:01 116K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 19:01 2.9K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 19:01 15K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 19:01 57K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 19:01 59K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 19:01 2.0K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 19:01 3.5K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 19:01 376K 
[   ]libuecc-dev-7-r4.apk2025-03-03 16:06 4.6K 
[   ]libuecc-7-r4.apk2025-03-03 16:06 9.2K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 19:01 8.9K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 19:01 81K 
[   ]libucl-0.9.0-r0.apk2024-10-25 19:01 56K 
[   ]libtsm-dev-4.0.2-r1.apk2024-10-25 19:01 9.5K 
[   ]libtsm-4.0.2-r1.apk2024-10-25 19:01 25K 
[   ]libtommath-dev-1.2.1-r1.apk2025-05-25 07:49 63K 
[   ]libtommath-1.2.1-r1.apk2025-05-25 07:49 37K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 19:01 2.3K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 19:01 141K 
[   ]libtins-4.5-r1.apk2024-10-25 19:01 332K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-25 19:01 1.5K 
[   ]libtcmu-1.6.0-r6.apk2024-10-25 19:01 36K 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 19:01 5.5M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 19:01 32K 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 19:01 4.1M 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-04-28 19:57 157K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-04-28 19:57 78K 
[   ]libstirshaken-0_git20240208-r4.apk2025-04-28 19:57 52K 
[   ]libspatialindex-dev-2.1.0-r0.apk2025-05-31 10:29 21K 
[   ]libspatialindex-2.1.0-r0.apk2025-05-31 10:29 303K 
[   ]libsirocco-dev-2.1.0-r2.apk2024-10-25 19:01 1.9K 
[   ]libsirocco-2.1.0-r2.apk2024-10-25 19:01 62K 
[   ]libsimplebluez-0.8.1-r0.apk2025-02-25 22:20 124K 
[   ]libsimpleble-c-0.8.1-r0.apk2025-02-25 22:20 15K 
[   ]libsimpleble-0.8.1-r0.apk2025-02-25 22:20 176K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 19:01 39K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 19:01 335K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 19:01 31K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 19:01 473K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-10-25 19:01 48K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 19:01 35K 
[   ]libserialport-0.1.1-r1.apk2024-10-25 19:01 20K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 21:23 1.6M 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 21:23 359K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 21:23 799K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 19:01 23K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 19:01 141K 
[   ]libsemanage-3.6-r1.apk2024-10-25 19:01 93K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 19:01 3.8K 
[   ]libsds-2.0.0-r1.apk2024-10-25 19:01 9.7K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 19:01 120K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 19:01 102K 
[   ]libretro-yabause-0_git20210411-r0.apk2024-10-25 19:01 498K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 19:01 118K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 19:01 387K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 19:01 873K 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 19:01 792K 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 19:01 20M 
[   ]libretro-ppsspp-0_git20210516-r15.apk2025-04-10 21:03 2.3M 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 19:01 84K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-25 19:01 587K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2024-10-25 19:01 540K 
[   ]libretro-pcem-0_git20180812-r0.apk2024-10-25 19:01 937K 
[   ]libretro-parallel-n64-0_git20220406-r0.apk2024-10-25 19:01 806K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 19:01 179K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 19:01 516K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 19:01 288K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 19:01 453K 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 19:01 176K 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 19:01 6.8M 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 19:01 2.8M 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 19:01 187K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 19:01 9.0K 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 19:01 185K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 19:01 706K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 19:01 854K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 19:01 161K 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 19:01 34K 
[   ]libretro-flycast-0_git20220406-r3.apk2025-04-10 21:03 1.5M 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 19:01 12M 
[   ]libretro-dolphin-0_git20220407-r2.apk2024-10-25 19:01 3.1M 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 19:01 136K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 19:01 584K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 19:01 277K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 19:01 300K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 19:01 242K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 19:01 639K 
[   ]libretro-blastem-0_git20210810-r0.apk2024-10-25 19:01 241K 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 19:01 439K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 19:01 1.5M 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 19:01 318K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 19:01 436K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 19:01 272K 
[   ]libresprite-doc-1.2-r0.apk2025-04-13 22:15 15K 
[   ]libresprite-1.2-r0.apk2025-04-13 22:15 15M 
[   ]librespot-openrc-0.6.0-r0.apk2024-11-02 05:01 1.8K 
[   ]librespot-0.6.0-r0.apk2024-11-02 05:01 3.3M 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 19:01 45K 
[   ]libre-dev-3.20.0-r0.apk2025-02-25 12:18 472K 
[   ]libre-3.20.0-r0.apk2025-02-25 12:18 289K 
[   ]libraqm-doc-0.10.2-r0.apk2024-10-25 19:01 21K 
[   ]libraqm-dev-0.10.2-r0.apk2024-10-25 19:01 4.5K 
[   ]libraqm-0.10.2-r0.apk2024-10-25 19:01 11K 
[   ]libqtdbustest-0.3.3-r1.apk2025-02-22 14:39 31K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-22 14:39 67K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-10 17:03 414K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-10 17:03 278K 
[   ]libqofono-dev-0.124-r0.apk2025-01-10 17:03 42K 
[   ]libqofono-0.124-r0.apk2025-01-10 17:03 1.2K 
[   ]libqd-static-2.3.24-r0.apk2024-10-25 19:01 257K 
[   ]libqd-doc-2.3.24-r0.apk2024-10-25 19:01 182K 
[   ]libqd-dev-2.3.24-r0.apk2024-10-25 19:01 58K 
[   ]libqd-2.3.24-r0.apk2024-10-25 19:01 166K 
[   ]libopensles-standalone-dev-0_git20240221-r0.apk2024-10-25 19:01 1.5K 
[   ]libopensles-standalone-dbg-0_git20240221-r0.apk2024-10-25 19:01 243K 
[   ]libopensles-standalone-0_git20240221-r0.apk2024-10-25 19:01 37K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 19:01 134K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 19:01 176K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 19:01 96K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 19:01 103K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 19:01 20K 
[   ]libofx-0.10.9-r1.apk2024-10-25 19:01 61K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 19:01 27K 
[   ]libnxml-0.18.3-r0.apk2024-10-25 19:01 19K 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 19:01 1.6M 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 19:01 374K 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 19:01 159K 
[   ]libntl-11.5.1-r4.apk2024-10-25 19:01 1.1M 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 19:01 2.7K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 19:01 113K 
[   ]libnih-1.0.3-r7.apk2024-10-25 19:01 113K 
[   ]libnfcdef-dev-1.0.1-r0.apk2025-04-13 22:18 5.7K 
[   ]libnfcdef-1.0.1-r0.apk2025-04-13 22:18 12K 
[   ]libnfc-tools-1.8.0-r1.apk2024-10-25 19:01 61K 
[   ]libnfc-doc-1.8.0-r1.apk2024-10-25 19:01 22K 
[   ]libnfc-dev-1.8.0-r1.apk2024-10-25 19:01 7.9K 
[   ]libnfc-1.8.0-r1.apk2024-10-25 19:01 55K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 04:45 70K 
[   ]libnest2d-0.4-r7.apk2025-02-06 04:45 1.2K 
[   ]libneo4j-client-dev-2.2.0-r3.apk2024-10-25 19:01 108K 
[   ]libneo4j-client-2.2.0-r3.apk2024-10-25 19:01 70K 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 19:01 1.1M 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 19:01 7.0K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 19:01 25K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 19:01 12K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 19:01 82K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 19:01 81K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 19:01 30K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 19:01 20K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 19:01 51K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 19:01 19K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 19:01 5.4K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 19:01 34K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 19:01 8.2K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 19:01 105K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 19:01 94K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 19:01 14K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 19:01 33K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 19:01 8.9K 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 19:01 93K 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 19:01 2.6M 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 19:01 714K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 18:10 190K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 18:10 24K 
[   ]libm4rie-20200125-r5.apk2025-01-15 18:10 164K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 18:10 135K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 18:10 32K 
[   ]libm4ri-20240729-r2.apk2025-01-15 18:10 120K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 19:01 20K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 19:01 160K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 19:01 3.7K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 19:01 4.2K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 19:01 7.3K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 19:01 86K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 19:01 71K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 19:01 9.3K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 19:01 20K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 19:01 60K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 22:44 8.0K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 22:44 4.1K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 22:44 23K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 08:58 13K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 19:01 78K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 19:01 3.9K 
[   ]libiml-1.0.5-r3.apk2024-10-25 19:01 76K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 19:01 72K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 19:01 21K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 19:01 18K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 19:01 13K 
[   ]libiio-0.25-r2.apk2024-10-25 19:01 51K 
[   ]libigraph-dev-0.10.16-r0.apk2025-06-11 20:26 91K 
[   ]libigraph-0.10.16-r0.apk2025-06-11 20:26 1.2M 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 22:44 2.2K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 22:44 3.3K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 22:44 16K 
[   ]libibumad-doc-1.3.10.2-r3.apk2024-10-25 19:01 23K 
[   ]libibumad-dev-1.3.10.2-r3.apk2024-10-25 19:01 7.6K 
[   ]libibumad-1.3.10.2-r3.apk2024-10-25 19:01 16K 
[   ]libibmad-dev-1.3.13-r2.apk2024-10-25 19:01 13K 
[   ]libibmad-1.3.13-r2.apk2024-10-25 19:01 31K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 19:01 13K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 19:01 5.8K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 19:01 5.4K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 19:01 19K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 19:01 16K 
[   ]libguestfs-static-1.52.0-r3.apk2025-06-13 14:12 443K 
[   ]libguestfs-doc-1.52.0-r3.apk2025-06-13 14:12 559K 
[   ]libguestfs-dev-1.52.0-r3.apk2025-06-13 14:12 29K 
[   ]libguestfs-1.52.0-r3.apk2025-06-13 14:12 324K 
[   ]libgrapheme-doc-1-r0.apk2024-10-25 19:01 8.0K 
[   ]libgrapheme-dev-1-r0.apk2024-10-25 19:01 9.6K 
[   ]libgrapheme-1-r0.apk2024-10-25 19:01 9.7K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-10 03:12 25K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-10 03:12 5.5K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-10 03:12 12K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 19:01 90K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 19:01 244K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 19:01 75K 
[   ]libgdcm-3.0.24-r0.apk2024-10-25 19:01 2.5M 
[   ]libfyaml-doc-0.9-r0.apk2024-10-25 19:01 7.4K 
[   ]libfyaml-dev-0.9-r0.apk2024-10-25 19:01 42K 
[   ]libfyaml-0.9-r0.apk2024-10-25 19:01 342K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 19:01 17K 
[   ]libfort-0.4.2-r0.apk2024-10-25 19:01 31K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 19:01 104K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 19:01 75K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 19:01 62K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 19:01 9.6K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 19:01 195K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 19:01 18K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 19:01 41K 
[   ]libemf2svg-utils-1.1.0-r2.apk2024-10-25 19:01 18K 
[   ]libemf2svg-1.1.0-r2.apk2024-10-25 19:01 163K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 19:01 16K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 19:01 11K 
[   ]libecap-1.0.1-r1.apk2024-10-25 19:01 13K 
[   ]libeantic-dev-2.1.0-r1.apk2025-06-13 04:57 18K 
[   ]libeantic-2.1.0-r1.apk2025-06-13 04:57 76K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 22:09 5.8K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 22:09 4.2K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 22:09 3.2K 
[   ]libdng-0.2.1-r0.apk2024-12-27 22:09 11K 
[   ]libdcmtk-3.6.9-r0.apk2025-01-19 16:47 6.4M 
[   ]libdbusaccess-dev-1.0.20-r0.apk2025-04-13 22:18 5.0K 
[   ]libdbusaccess-1.0.20-r0.apk2025-04-13 22:18 17K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 19:01 25K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 19:01 8.6K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 19:01 13K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 19:01 20K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 19:01 3.0K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 19:01 39K 
[   ]libctl-4.5.1-r1.apk2024-10-25 19:01 97K 
[   ]libcpdf-static-2.8.1-r0.apk2025-05-10 03:12 3.3M 
[   ]libcpdf-dev-2.8.1-r0.apk2025-05-10 03:12 17K 
[   ]libcpdf-2.8.1-r0.apk2025-05-10 03:12 2.2M 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-25 19:01 2.5K 
[   ]libcotp-3.1.0-r0.apk2024-10-25 19:01 7.9K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 19:01 11K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 19:01 8.1K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 19:01 13K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 19:01 4.4K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 19:01 30K 
[   ]libcork-0.15.0-r7.apk2024-10-25 19:01 33K 
[   ]libcli-1.10.7-r0.apk2024-10-25 19:01 30K 
[   ]libclc-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 20M 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 19:01 39K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 19:01 43K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-17 04:04 15K 
[   ]libbraiding-1.3.1-r0.apk2024-11-17 04:04 95K 
[   ]libbloom-dev-2.0-r0.apk2024-10-25 19:01 3.5K 
[   ]libbloom-2.0-r0.apk2024-10-25 19:01 5.5K 
[   ]libblastrampoline-dev-5.2.0-r0.apk2024-10-25 19:01 96K 
[   ]libblastrampoline-5.2.0-r0.apk2024-10-25 19:01 330K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 19:01 31K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 19:01 6.4K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 19:01 149K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 19:01 8.0K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 19:01 5.7K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 19:01 4.4K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 19:01 28K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 19:01 31K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 19:01 4.3K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 19:01 27K 
[   ]libapk3-3.0.0_rc4_git20250421-r0.apk2025-05-14 00:10 125K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 11:22 936K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 11:22 462K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 19:01 58K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 19:01 50K 
[   ]libandroidfw-dev-0_git20250325-r1.apk2025-04-28 18:58 7.2K 
[   ]libandroidfw-0_git20250325-r1.apk2025-04-28 18:58 387K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 19:01 107K 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 19:01 61K 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 19:01 1.2M 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 19:01 3.0K 
[   ]libabigail-2.3-r0.apk2024-10-25 19:01 843K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-25 15:13 8.5K 
[   ]lgogdownloader-3.16-r1.apk2025-03-25 15:13 358K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 19:01 134K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 19:01 2.8K 
[   ]lfm-3.1-r4.apk2024-10-25 19:01 88K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 19:01 47K 
[   ]level-zero-doc-1.21.9-r0.apk2025-05-23 18:15 2.3K 
[   ]level-zero-1.21.9-r0.apk2025-05-23 18:15 583K 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-25 15:30 6.0K 
[   ]leptosfmt-0.1.33-r0.apk2025-03-25 15:30 1.0M 
[   ]lemmy-ui-openrc-0.19.12-r0.apk2025-06-23 04:46 2.1K 
[   ]lemmy-ui-0.19.12-r0.apk2025-06-23 04:46 52M 
[   ]lemmy-openrc-0.19.12-r0.apk2025-06-23 04:46 1.9K 
[   ]lemmy-localdb-0.19.12-r0.apk2025-06-23 04:46 2.4K 
[   ]lemmy-0.19.12-r0.apk2025-06-23 04:46 13M 
[   ]lem-gui-2.2.0-r0.apk2024-10-25 19:01 26M 
[   ]lem-common-2.2.0-r0.apk2024-10-25 19:01 11K 
[   ]lem-2.2.0-r0.apk2024-10-25 19:01 24M 
[   ]legume-doc-1.4.2-r9.apk2025-05-14 00:10 12K 
[   ]legume-1.4.2-r9.apk2025-05-14 00:10 1.5M 
[   ]lefthook-doc-1.11.14-r0.apk2025-06-19 07:01 2.2K 
[   ]lefthook-1.11.14-r0.apk2025-06-19 07:01 5.5M 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 19:01 14K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 19:01 79K 
[   ]ldc-runtime-cross-riscv64-1.41.0-r0.apk2025-06-07 16:13 7.1M 
[   ]ldc-runtime-cross-ppc64le-1.41.0-r0.apk2025-06-07 16:13 6.9M 
[   ]ldc-runtime-cross-loongarch64-1.41.0-r0.apk2025-06-07 16:13 6.5M 
[   ]ldc-runtime-cross-aarch64-1.41.0-r0.apk2025-06-07 16:13 6.8M 
[   ]ldc-runtime-cross-1.41.0-r0.apk2025-06-07 16:13 2.5K 
[   ]ldapdomaindump-pyc-0.9.4-r2.apk2025-05-29 12:00 30K 
[   ]ldapdomaindump-0.9.4-r2.apk2025-05-29 12:00 18K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-03 10:05 219K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-03 10:05 437K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-03 10:05 51K 
[   ]lcalc-2.1.0-r0.apk2025-05-03 10:05 205K 
[   ]lbb-doc-0.9.1-r3.apk2025-05-14 00:10 19K 
[   ]lbb-0.9.1-r3.apk2025-05-14 00:10 3.2M 
[   ]laze-zsh-completion-0.1.31-r0.apk2025-02-22 14:39 3.6K 
[   ]laze-fish-completion-0.1.31-r0.apk2025-02-22 14:39 3.4K 
[   ]laze-doc-0.1.31-r0.apk2025-02-22 14:39 3.5K 
[   ]laze-bash-completion-0.1.31-r0.apk2025-02-22 14:39 3.1K 
[   ]laze-0.1.31-r0.apk2025-02-22 14:39 1.0M 
[   ]lavacli-pyc-2.4-r0.apk2025-06-15 03:57 88K 
[   ]lavacli-doc-2.4-r0.apk2025-06-15 03:57 34K 
[   ]lavacli-2.4-r0.apk2025-06-15 03:57 49K 
[   ]kumactl-zsh-completion-2.10.1-r0.apk2025-05-16 08:40 4.0K 
[   ]kumactl-fish-completion-2.10.1-r0.apk2025-05-16 08:40 4.3K 
[   ]kumactl-bash-completion-2.10.1-r0.apk2025-05-16 08:40 10K 
[   ]kumactl-2.10.1-r0.apk2025-05-16 08:40 27M 
[   ]kuma-dp-openrc-2.10.1-r0.apk2025-05-16 08:40 2.1K 
[   ]kuma-dp-2.10.1-r0.apk2025-05-16 08:40 24M 
[   ]kuma-cp-openrc-2.10.1-r0.apk2025-05-16 08:40 2.1K 
[   ]kuma-cp-2.10.1-r0.apk2025-05-16 08:40 30M 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 19:01 13K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 19:01 13K 
[   ]kubeseal-doc-0.30.0-r0.apk2025-06-13 15:34 5.5K 
[   ]kubeseal-0.30.0-r0.apk2025-06-13 15:34 12M 
[   ]kubepug-zsh-completion-1.7.1-r10.apk2025-05-14 00:10 4.1K 
[   ]kubepug-fish-completion-1.7.1-r10.apk2025-05-14 00:10 4.3K 
[   ]kubepug-bash-completion-1.7.1-r10.apk2025-05-14 00:10 5.1K 
[   ]kubepug-1.7.1-r10.apk2025-05-14 00:10 17M 
[   ]kubeone-zsh-completion-1.10.0-r0.apk2025-05-16 08:59 4.0K 
[   ]kubeone-doc-1.10.0-r0.apk2025-05-16 08:59 20K 
[   ]kubeone-bash-completion-1.10.0-r0.apk2025-05-16 08:59 6.7K 
[   ]kubeone-1.10.0-r0.apk2025-05-16 08:59 27M 
[   ]kubectl-oidc_login-1.32.4-r0.apk2025-05-16 14:31 5.7M 
[   ]kubectl-krew-0.4.5-r2.apk2025-05-14 00:10 4.8M 
[   ]kubeconform-0.6.6-r7.apk2025-05-14 00:10 3.5M 
[   ]kube-no-trouble-0.7.3-r5.apk2025-05-14 00:10 14M 
[   ]ktx-libs-4.3.2-r1.apk2025-06-10 02:57 1.4M 
[   ]ktx-dev-4.3.2-r1.apk2025-06-10 02:57 29K 
[   ]ktx-4.3.2-r1.apk2025-06-10 02:57 1.3M 
[   ]kronosnet-doc-1.30-r0.apk2025-05-27 23:07 106K 
[   ]kronosnet-dev-1.30-r0.apk2025-05-27 23:07 2.7M 
[   ]kronosnet-1.30-r0.apk2025-05-27 23:07 77K 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-10-25 19:01 2.8M 
[   ]krdp-lang-6.3.5-r0.apk2025-06-04 22:33 50K 
[   ]krdp-6.3.5-r0.apk2025-06-04 22:33 178K 
[   ]kpatch-doc-0.9.10-r0.apk2025-02-07 22:29 3.4K 
[   ]kpatch-0.9.10-r0.apk2025-02-07 22:29 129K 
[   ]kotlin-language-server-1.3.13-r0.apk2025-04-03 00:11 83M 
[   ]kops-1.28.4-r10.apk2025-05-14 00:10 36M 
[   ]kopia-zsh-completion-0.19.0-r4.apk2025-05-14 00:10 1.8K 
[   ]kopia-bash-completion-0.19.0-r4.apk2025-05-14 00:10 1.8K 
[   ]kopia-0.19.0-r4.apk2025-05-14 00:10 17M 
[   ]kontainer-1.0.1-r0.apk2025-06-16 23:00 193K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 19:01 2.5K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 19:01 2.1K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 19:01 2.1K 
[   ]kondo-0.8-r0.apk2024-10-25 19:01 692K 
[   ]kompose-zsh-completion-1.31.2-r10.apk2025-05-14 00:10 6.8K 
[   ]kompose-fish-completion-1.31.2-r10.apk2025-05-14 00:10 4.4K 
[   ]kompose-bash-completion-1.31.2-r10.apk2025-05-14 00:10 5.6K 
[   ]kompose-1.31.2-r10.apk2025-05-14 00:10 7.5M 
[   ]komikku-pyc-1.80.0-r0.apk2025-06-16 17:58 783K 
[   ]komikku-lang-1.80.0-r0.apk2025-06-16 17:58 269K 
[   ]komikku-1.80.0-r0.apk2025-06-16 17:58 437K 
[   ]koka-3.1.3_alpha16-r0.apk2025-06-05 05:58 30M 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-20 08:16 23K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-20 08:16 51K 
[   ]ko-zsh-completion-0.17.1-r5.apk2025-05-14 00:10 4.0K 
[   ]ko-fish-completion-0.17.1-r5.apk2025-05-14 00:10 4.3K 
[   ]ko-bash-completion-0.17.1-r5.apk2025-05-14 00:10 5.0K 
[   ]ko-0.17.1-r5.apk2025-05-14 00:10 11M 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 21:23 24K 
[   ]knxd-0.14.61-r1.apk2024-12-14 21:23 397K 
[   ]knative-client-zsh-completion-1.18.0-r0.apk2025-05-16 14:34 4.0K 
[   ]knative-client-fish-completion-1.18.0-r0.apk2025-05-16 14:34 4.3K 
[   ]knative-client-bash-completion-1.18.0-r0.apk2025-05-16 14:34 10K 
[   ]knative-client-1.18.0-r0.apk2025-05-16 14:34 25M 
[   ]kmscon-doc-9.0.0-r0.apk2024-10-25 19:01 7.7K 
[   ]kmscon-9.0.0-r0.apk2024-10-25 19:01 798K 
[   ]klong-20221212-r0.apk2025-06-07 15:16 333K 
[   ]klfc-doc-1.5.7-r0.apk2024-10-25 19:01 400K 
[   ]klfc-1.5.7-r0.apk2024-10-25 19:01 4.2M 
[   ]klevernotes-lang-1.1.0-r0.apk2024-10-25 19:01 142K 
[   ]klevernotes-1.1.0-r0.apk2024-10-25 19:01 2.3M 
[   ]kjv-0_git20221103-r0.apk2024-10-25 19:01 1.5M 
[   ]kismet-nxp-kw41z-0.202307.1-r8.apk2025-06-12 13:59 42K 
[   ]kismet-nrf-51822-0.202307.1-r8.apk2025-06-12 13:59 40K 
[   ]kismet-logtools-0.202307.1-r8.apk2025-06-12 13:59 1.1M 
[   ]kismet-linux-wifi-0.202307.1-r8.apk2025-06-12 13:59 63K 
[   ]kismet-linux-bluetooth-0.202307.1-r8.apk2025-06-12 13:59 44K 
[   ]kismet-0.202307.1-r8.apk2025-06-12 13:59 12M 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 15:08 2.8K 
[   ]kirc-0.3.3-r0.apk2025-02-22 15:08 15K 
[   ]kine-doc-0.10.1-r13.apk2025-05-14 00:10 5.2K 
[   ]kine-0.10.1-r13.apk2025-05-14 00:10 8.2M 
[   ]kimchi-pyc-3.0.0-r8.apk2025-02-22 14:38 476K 
[   ]kimchi-lang-3.0.0-r8.apk2025-02-22 14:38 172K 
[   ]kimchi-3.0.0-r8.apk2025-02-22 14:38 529K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 19:01 26K 
[   ]khronos-4.0.1-r0.apk2024-10-25 19:01 53K 
[   ]khinsider-2.0.7-r20.apk2025-05-14 00:10 3.6M 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-10-25 19:01 230K 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-10-25 19:01 6.3K 
[   ]kgraphviewer-2.5.0-r0.apk2024-10-25 19:01 1.3M 
[   ]kfc-0.1.4-r0.apk2024-10-25 19:01 58K 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 19:01 9.7K 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 19:01 1.6M 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 19:01 7.3K 
[   ]keystone-0.9.2-r6.apk2024-10-25 19:01 1.3M 
[   ]keydb-openrc-6.3.4-r0.apk2024-10-25 19:01 2.6K 
[   ]keydb-cli-6.3.4-r0.apk2024-10-25 19:01 367K 
[   ]keydb-benchmark-6.3.4-r0.apk2024-10-25 19:01 372K 
[   ]keydb-6.3.4-r0.apk2024-10-25 19:01 1.1M 
[   ]keybase-client-6.2.8-r10.apk2025-05-14 00:10 19M 
[   ]kew-doc-3.3.3-r0.apk2025-05-30 05:47 3.5K 
[   ]kew-3.3.3-r0.apk2025-05-30 05:47 375K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 12:00 15K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 12:00 9.3K 
[   ]keepassxc-browser-1.8.9-r0.apk2024-10-25 19:01 876K 
[   ]kdiskmark-lang-3.1.4-r1.apk2024-10-25 19:01 27K 
[   ]kdiskmark-3.1.4-r1.apk2024-10-25 19:01 160K 
[   ]kcbench-doc-0.9.12-r0.apk2025-04-25 10:49 20K 
[   ]kcbench-0.9.12-r0.apk2025-04-25 10:49 37K 
[   ]kbs2-zsh-completion-0.7.2-r3.apk2024-10-25 19:01 4.0K 
[   ]kbs2-fish-completion-0.7.2-r3.apk2024-10-25 19:01 3.2K 
[   ]kbs2-bash-completion-0.7.2-r3.apk2024-10-25 19:01 3.1K 
[   ]kbs2-0.7.2-r3.apk2024-10-25 19:01 1.0M 
[   ]katarakt-0.2-r1.apk2025-01-29 20:02 83K 
[   ]katana-doc-1.1.3-r1.apk2025-05-14 00:10 2.3K 
[   ]katana-1.1.3-r1.apk2025-05-14 00:10 14M 
[   ]kapow-0.7.1-r13.apk2025-05-14 00:10 3.7M 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 19:01 6.2K 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 19:01 919K 
[   ]kannel-1.5.0-r11.apk2024-10-25 19:01 6.2M 
[   ]kanidm-zsh-completion-1.5.0-r0.apk2025-02-22 14:38 32K 
[   ]kanidm-unixd-clients-1.5.0-r0.apk2025-02-22 14:38 8.7M 
[   ]kanidm-server-1.5.0-r0.apk2025-02-22 14:38 13M 
[   ]kanidm-openrc-1.5.0-r0.apk2025-02-22 14:38 1.8K 
[   ]kanidm-clients-1.5.0-r0.apk2025-02-22 14:38 3.5M 
[   ]kanidm-bash-completion-1.5.0-r0.apk2025-02-22 14:38 23K 
[   ]kanidm-1.5.0-r0.apk2025-02-22 14:38 1.2K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 19:01 3.5K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 19:01 57K 
[   ]k3sup-zsh-completion-0.13.6-r5.apk2025-05-14 00:10 4.0K 
[   ]k3sup-fish-completion-0.13.6-r5.apk2025-05-14 00:10 4.3K 
[   ]k3sup-bash-completion-0.13.6-r5.apk2025-05-14 00:10 5.0K 
[   ]k3sup-0.13.6-r5.apk2025-05-14 00:10 2.7M 
[   ]k2-0_git20220807-r1.apk2024-10-25 19:01 97K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 18:18 1.0M 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 19:01 24K 
[   ]junit2html-31.0.2-r0.apk2024-10-25 19:01 17K 
[   ]jsonnet-language-server-0.15.0-r4.apk2025-05-14 00:10 4.5M 
[   ]jsonnet-bundler-0.6.0-r5.apk2025-05-14 00:10 3.3M 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 19:01 2.3K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 19:01 1.9K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 19:01 5.2K 
[   ]json2tsv-1.2-r0.apk2024-10-25 19:01 6.4K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 19:01 4.7K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 19:01 560K 
[   ]jreleaser-doc-1.18.0-r0.apk2025-04-30 22:48 5.9K 
[   ]jreleaser-1.18.0-r0.apk2025-04-30 22:48 40M 
[   ]jotdown-0.7.0-r0.apk2025-03-12 01:07 223K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 19:01 7.9K 
[   ]jhead-3.08-r0.apk2024-10-25 19:01 31K 
[   ]jfrog-cli-2.45.0-r12.apk2025-05-14 00:10 9.6M 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-04-28 22:57 50K 
[   ]jedi-language-server-0.45.1-r0.apk2025-04-28 22:57 32K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 19:01 9.0K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 19:01 27K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 19:01 12K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 19:01 93K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 19:01 7.3K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 19:01 30K 
[   ]jbigkit-2.1-r2.apk2024-10-25 19:01 67K 
[   ]java-jtreg-7.5_p1-r0.apk2024-10-27 13:25 4.6M 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 19:01 219K 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 19:01 12K 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 19:01 4.0M 
[   ]java-gdcm-3.0.24-r0.apk2024-10-25 19:01 614K 
[   ]java-asmtools-doc-8.0.09-r0.apk2024-10-25 19:01 6.9K 
[   ]java-asmtools-8.0.09-r0.apk2024-10-25 19:01 574K 
[   ]jaq-doc-2.2.0-r0.apk2025-05-03 17:18 2.2K 
[   ]jaq-2.2.0-r0.apk2025-05-03 17:18 662K 
[   ]jami-qt-lang-20230925-r0.apk2024-10-25 19:01 2.3M 
[   ]jami-qt-doc-20230925-r0.apk2024-10-25 19:01 2.7K 
[   ]jami-qt-20230925-r0.apk2024-10-25 19:01 14M 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 19:01 32K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 19:01 3.2K 
[   ]jalv-1.6.8-r1.apk2024-10-25 19:01 48K 
[   ]jadx-doc-1.5.2-r0.apk2025-06-03 07:41 5.5K 
[   ]jadx-1.5.2-r0.apk2025-06-03 07:41 112M 
[   ]jacktrip-doc-2.5.1-r0.apk2025-04-18 05:47 6.4K 
[   ]jacktrip-2.5.1-r0.apk2025-04-18 05:47 1.0M 
[   ]jackline-0.1.0-r3.apk2024-10-25 19:01 3.9M 
[   ]jackdaw-pyc-0.3.1-r2.apk2025-05-29 12:00 364K 
[   ]jackdaw-0.3.1-r2.apk2025-05-29 12:00 2.0M 
[   ]jackal-openrc-0.64.0-r15.apk2025-05-14 00:10 1.8K 
[   ]jackal-0.64.0-r15.apk2025-05-14 00:10 12M 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 19:01 31K 
[   ]iwmenu-0.2.0-r0.apk2025-05-18 22:28 1.5M 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 19:01 30K 
[   ]isomd5sum-doc-1.2.3-r3.apk2024-10-25 19:01 3.0K 
[   ]isomd5sum-1.2.3-r3.apk2024-10-25 19:01 19K 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 19:01 6.5K 
[   ]ircdog-0.5.4-r5.apk2025-05-14 00:10 2.5M 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-05 03:59 3.6K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-05 03:59 332K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 19:01 1.8K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 19:01 80K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 19:01 9.6K 
[   ]irccd-4.0.3-r0.apk2024-10-25 19:01 259K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 19:01 4.5K 
[   ]iprange-1.0.4-r1.apk2024-10-25 19:01 20K 
[   ]ipp-usb-openrc-0.9.30-r0.apk2025-05-27 22:50 1.7K 
[   ]ipp-usb-doc-0.9.30-r0.apk2025-05-27 22:50 8.8K 
[   ]ipp-usb-0.9.30-r0.apk2025-05-27 22:50 2.6M 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 19:01 2.7K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 19:01 12K 
[   ]ip2location-8.6.1-r0.apk2024-10-25 19:01 25K 
[   ]iotas-pyc-0.11.0-r1.apk2025-05-28 08:22 317K 
[   ]iotas-lang-0.11.0-r1.apk2025-05-28 08:22 124K 
[   ]iotas-0.11.0-r1.apk2025-05-28 08:22 201K 
[   ]invidtui-0.4.6-r5.apk2025-05-14 00:10 4.2M 
[   ]intiface-central-2.6.7-r0.apk2025-06-12 22:33 11M 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 19:01 1.7K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 19:01 107K 
[   ]intel-graphics-compiler-doc-2.11.7-r0.apk2025-05-23 18:15 1.7K 
[   ]intel-graphics-compiler-dev-2.11.7-r0.apk2025-05-23 18:15 181K 
[   ]intel-graphics-compiler-2.11.7-r0.apk2025-05-23 18:15 83M 
[   ]intel-compute-runtime-doc-25.18.33578.6-r0.apk2025-05-23 18:15 2.3K 
[   ]intel-compute-runtime-25.18.33578.6-r0.apk2025-05-23 18:15 17M 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-25 19:01 5.5K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-25 19:01 2.3K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-25 19:01 4.5K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-25 19:01 9.0K 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-25 19:01 3.8K 
[   ]innernet-1.6.1-r0.apk2024-10-25 19:01 2.7M 
[   ]inko-doc-0.18.1_git20250517-r0.apk2025-05-26 05:19 6.8K 
[   ]inko-0.18.1_git20250517-r0.apk2025-05-26 05:19 9.1M 
[   ]initify-0_git20171210-r1.apk2024-10-25 19:01 3.3K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 08:58 1.7K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 08:58 4.1K 
[   ]infnoise-0.3.3-r0.apk2025-05-26 08:58 14K 
[   ]incus-ui-canonical-0.14.6-r0.apk2025-02-04 01:35 4.5M 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 19:01 19K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 19:01 8.8K 
[   ]imgdiff-doc-1.0.2-r26.apk2025-05-14 00:10 2.3K 
[   ]imgdiff-1.0.2-r26.apk2025-05-14 00:10 1.0M 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 19:01 44K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 19:01 6.5K 
[   ]imediff-2.6-r1.apk2024-10-25 19:01 42K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 19:01 13K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 19:01 41K 
[   ]ijq-doc-1.1.0-r8.apk2025-05-14 00:10 3.5K 
[   ]ijq-1.1.0-r8.apk2025-05-14 00:10 1.5M 
[   ]igt-gpu-tools-dev-1.27.1-r1.apk2024-10-25 19:01 9.6K 
[   ]igt-gpu-tools-1.27.1-r1.apk2024-10-25 19:01 4.6M 
[   ]igsc-dev-0.9.5-r0.apk2025-03-21 18:42 15K 
[   ]igsc-0.9.5-r0.apk2025-03-21 18:42 90K 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 19:01 4.2K 
[   ]igrep-1.2.0-r0.apk2024-10-25 19:01 1.6M 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 22:44 2.3K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 22:44 9.6K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 22:44 2.5K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 22:44 81K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 22:44 2.5K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 22:44 14K 
[   ]idesk-1-r1.apk2024-10-25 19:01 70K 
[   ]identme-0.6.0-r0.apk2025-04-03 12:33 46K 
[   ]identities-0.1.4-r0.apk2025-05-05 21:16 13K 
[   ]icmake-doc-9.03.01-r0.apk2024-10-25 19:01 127K 
[   ]icmake-9.03.01-r0.apk2024-10-25 19:01 116K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-25 19:01 1.6K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-25 19:01 9.0K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2024-10-25 19:01 1.8K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2024-10-25 19:01 6.6K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2024-10-25 19:01 231K 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2024-10-25 19:01 11K 
[   ]icingaweb2-module-businessprocess-doc-2.5.1-r0.apk2025-02-22 14:38 2.0M 
[   ]icingaweb2-module-businessprocess-2.5.1-r0.apk2025-02-22 14:38 109K 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 19:01 17M 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 19:01 1.9K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 19:01 8.8K 
[   ]i3status-rust-doc-0.33.2-r0.apk2024-11-11 03:20 34K 
[   ]i3status-rust-0.33.2-r0.apk2024-11-11 03:20 4.4M 
[   ]i3bar-river-1.1.0-r0.apk2025-03-14 17:12 522K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 19:01 4.7K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 19:01 44K 
[   ]i2util-4.2.1-r1.apk2024-10-25 19:01 20K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 19:01 2.2K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 19:01 17K 
[   ]hyprpolkitagent-openrc-0.1.2-r0.apk2025-05-24 20:02 1.8K 
[   ]hyprpolkitagent-doc-0.1.2-r0.apk2025-05-24 20:02 3.0K 
[   ]hyprpolkitagent-0.1.2-r0.apk2025-05-24 20:02 56K 
[   ]hyprland-qtutils-0.1.3-r0.apk2025-05-24 20:06 121K 
[   ]hyprland-qt-support-0.1.0-r0.apk2025-05-24 20:06 109K 
[   ]hyprland-plugins-xtra-dispatchers-0.49.0-r0.apk2025-05-24 20:11 16K 
[   ]hyprland-plugins-hyprwinwrap-0.49.0-r0.apk2025-05-24 20:11 61K 
[   ]hyprland-plugins-hyprtrails-0.49.0-r0.apk2025-05-24 20:11 47K 
[   ]hyprland-plugins-hyprexpo-0.49.0-r0.apk2025-05-24 20:11 44K 
[   ]hyprland-plugins-hyprbars-0.49.0-r0.apk2025-05-24 20:11 92K 
[   ]hyprland-plugins-doc-0.49.0-r0.apk2025-05-24 20:11 5.7K 
[   ]hyprland-plugins-csgo-vulkan-fix-0.49.0-r0.apk2025-05-24 20:11 17K 
[   ]hyprland-plugins-borders-plus-plus-0.49.0-r0.apk2025-05-24 20:11 59K 
[   ]hyprland-plugins-0.49.0-r0.apk2025-05-24 20:11 1.3K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 19:01 72K 
[   ]hypnotix-3.5-r0.apk2024-10-25 19:01 110K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-25 19:01 2.5K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-25 19:01 180K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-25 19:01 17K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-25 19:01 3.3K 
[   ]hyfetch-1.99.0-r1.apk2024-10-25 19:01 433K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 19:01 4.8K 
[   ]hx-1.0.15-r0.apk2024-10-25 19:01 14K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-25 19:01 1.9K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-25 19:01 1.8K 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-25 19:01 3.0K 
[   ]hwatch-0.3.11-r0.apk2024-10-25 19:01 1.0M 
[   ]hw-probe-1.6.6-r1.apk2025-06-25 07:40 124K 
[   ]hurlfmt-6.1.1-r0.apk2025-05-03 17:29 862K 
[   ]hurl-zsh-completion-6.1.1-r0.apk2025-05-03 17:29 4.0K 
[   ]hurl-fish-completion-6.1.1-r0.apk2025-05-03 17:29 3.5K 
[   ]hurl-doc-6.1.1-r0.apk2025-05-03 17:29 8.8K 
[   ]hurl-bash-completion-6.1.1-r0.apk2025-05-03 17:29 2.3K 
[   ]hurl-6.1.1-r0.apk2025-05-03 17:29 1.8M 
[   ]hunspell-fr-doc-7.0-r0.apk2025-06-13 15:36 2.9K 
[   ]hunspell-fr-7.0-r0.apk2025-06-13 15:36 1.2M 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 19:01 731K 
[   ]hubble-cli-zsh-completion-0.13.6-r5.apk2025-05-14 00:10 4.1K 
[   ]hubble-cli-fish-completion-0.13.6-r5.apk2025-05-14 00:10 4.3K 
[   ]hubble-cli-bash-completion-0.13.6-r5.apk2025-05-14 00:10 5.1K 
[   ]hubble-cli-0.13.6-r5.apk2025-05-14 00:10 18M 
[   ]hub-zsh-completion-2.14.2-r31.apk2025-05-14 00:10 3.7K 
[   ]hub-fish-completion-2.14.2-r31.apk2025-05-14 00:10 3.3K 
[   ]hub-doc-2.14.2-r31.apk2025-05-14 00:10 42K 
[   ]hub-bash-completion-2.14.2-r31.apk2025-05-14 00:10 4.6K 
[   ]hub-2.14.2-r31.apk2025-05-14 00:10 3.0M 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 19:01 528K 
[   ]httrack-3.49.2-r5.apk2024-10-25 19:01 742K 
[   ]httpx-doc-1.7.0-r2.apk2025-05-29 12:00 2.3K 
[   ]httpx-1.7.0-r2.apk2025-05-29 12:00 14M 
[   ]httplz-doc-2.2.0-r0.apk2025-05-18 15:38 2.3K 
[   ]httplz-2.2.0-r0.apk2025-05-18 15:38 1.3M 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 19:01 2.3K 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 19:01 3.4K 
[   ]htslib-tools-1.19-r0.apk2024-10-25 19:01 1.3M 
[   ]htslib-static-1.19-r0.apk2024-10-25 19:01 494K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 19:01 23K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 19:01 115K 
[   ]htslib-1.19-r0.apk2024-10-25 19:01 394K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 19:01 21K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 19:01 63K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 19:01 878K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 19:01 11K 
[   ]hpnssh-doc-18.6.2-r0.apk2025-03-21 16:21 99K 
[   ]hpnssh-18.6.2-r0.apk2025-03-21 16:21 2.4M 
[   ]hping3-doc-20051105-r4.apk2024-10-25 19:01 17K 
[   ]hping3-20051105-r4.apk2024-10-25 19:01 72K 
[   ]horust-doc-0.1.7-r2.apk2024-10-25 19:01 9.2K 
[   ]horust-0.1.7-r2.apk2024-10-25 19:01 1.0M 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 19:01 79K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 19:01 66K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 19:01 21K 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 19:01 4.9K 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 19:01 4.0M 
[   ]horizon-0.9.6-r9.apk2024-10-25 19:01 199K 
[   ]hopalong-0.1-r3.apk2024-10-25 19:01 23K 
[   ]honeybee-doc-0.2.0-r0.apk2025-06-19 07:06 3.4K 
[   ]honeybee-0.2.0-r0.apk2025-06-19 07:06 377K 
[   ]homebank-lang-5.9.1-r0.apk2025-05-10 03:12 942K 
[   ]homebank-5.9.1-r0.apk2025-05-10 03:12 2.0M 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 19:01 2.1K 
[   ]hitide-0.15.0-r0.apk2024-10-25 19:01 1.9M 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-10-25 19:01 8.3K 
[   ]himitsu-keyring-0.2.0-r0.apk2024-10-25 19:01 13K 
[   ]himitsu-git-0.3.0-r0.apk2024-10-25 19:01 108K 
[   ]himitsu-firefox-0.6-r1.apk2024-11-29 00:08 168K 
[   ]hilbish-doc-2.3.4-r5.apk2025-05-14 00:10 25K 
[   ]hilbish-2.3.4-r5.apk2025-05-14 00:10 3.5M 
[   ]hikari-unlocker-2.3.3-r6.apk2024-10-25 19:01 4.0K 
[   ]hikari-doc-2.3.3-r6.apk2024-10-25 19:01 14K 
[   ]hikari-2.3.3-r6.apk2024-10-25 19:01 941K 
[   ]highfive-2.10.1-r0.apk2025-01-15 02:50 75K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-25 20:24 326K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-25 20:24 302K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 19:01 126K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 19:01 74K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 11:07 1.7K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 11:07 17K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 11:07 21K 
[   ]hiawatha-11.6-r1.apk2025-05-27 11:07 181K 
[   ]hfst-libs-3.16.2-r0.apk2025-04-03 00:11 1.9M 
[   ]hfst-doc-3.16.2-r0.apk2025-04-03 00:11 70K 
[   ]hfst-dev-3.16.2-r0.apk2025-04-03 00:11 209K 
[   ]hfst-3.16.2-r0.apk2025-04-03 00:11 1.4M 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 19:01 5.6K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 19:01 16K 
[   ]hexdiff-doc-0.0.53-r3.apk2025-05-25 07:49 3.7K 
[   ]hexdiff-0.0.53-r3.apk2025-05-25 07:49 15K 
[   ]hex-0.6.0-r0.apk2024-10-25 19:01 293K 
[   ]herbe-1.0.0-r0.apk2024-10-25 19:01 5.8K 
[   ]helvum-0.5.1-r0.apk2024-10-25 19:01 313K 
[   ]helmfile-zsh-completion-1.1.2-r0.apk2025-06-19 06:57 4.0K 
[   ]helmfile-fish-completion-1.1.2-r0.apk2025-06-19 06:57 4.3K 
[   ]helmfile-doc-1.1.2-r0.apk2025-06-19 06:57 2.3K 
[   ]helmfile-bash-completion-1.1.2-r0.apk2025-06-19 06:57 6.1K 
[   ]helmfile-1.1.2-r0.apk2025-06-19 06:57 48M 
[   ]helm-unittest-0.8.2-r0.apk2025-05-16 14:35 12M 
[   ]helm-mapkubeapis-0.5.2-r6.apk2025-05-14 15:59 20M 
[   ]helm-ls-doc-0.0.12-r9.apk2025-05-14 00:10 2.3K 
[   ]helm-ls-0.0.12-r9.apk2025-05-14 00:10 12M 
[   ]helm-diff-3.11.0-r1.apk2025-05-14 00:10 22M 
[   ]heisenbridge-pyc-1.15.3-r0.apk2025-04-20 05:14 155K 
[   ]heisenbridge-1.15.3-r0.apk2025-04-20 05:14 67K 
[   ]heh-doc-0.6.1-r0.apk2024-10-25 19:01 4.1K 
[   ]heh-0.6.1-r0.apk2024-10-25 19:01 472K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 19:01 186K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 19:01 6.0K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 19:01 101K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 19:01 254K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 19:01 34K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 19:01 2.2K 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 19:01 33K 
[   ]hctl-0.2.7-r0.apk2025-05-14 00:10 1.3M 
[   ]haxe-doc-4.3.3-r1.apk2025-03-22 14:35 7.7K 
[   ]haxe-4.3.3-r1.apk2025-03-22 14:35 10M 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 19:01 3.0K 
[   ]hatop-0.8.2-r0.apk2024-10-25 19:01 18K 
[   ]hatch-pyc-1.14.1-r0.apk2025-04-10 14:09 216K 
[   ]hatch-1.14.1-r0.apk2025-04-10 14:09 102K 
[   ]haskell-language-server-2.9.0.0-r0.apk2024-10-25 19:01 68M 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 19:01 2.1M 
[   ]hashcat-6.2.6-r0.apk2024-10-25 19:01 61M 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 19:01 14K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 19:01 5.7K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 19:01 3.1K 
[   ]harminv-1.4.2-r1.apk2024-10-25 19:01 7.6K 
[   ]haredo-doc-1.0.5-r1.apk2024-11-29 00:08 4.7K 
[   ]haredo-1.0.5-r1.apk2024-11-29 00:08 122K 
[   ]hare-scfg-0.24.2-r1.apk2024-11-29 00:08 4.0K 
[   ]hare-message-0_git20240425-r0.apk2024-11-29 13:19 8.5K 
[   ]hare-madeline-doc-0.1_git20240505-r1.apk2024-11-29 00:08 2.2K 
[   ]hare-madeline-0.1_git20240505-r1.apk2024-11-29 00:08 25K 
[   ]hare-irc-0.25.2.0-r0.apk2025-06-25 07:24 11K 
[   ]hare-http-0.25.2.0-r0.apk2025-06-23 09:34 21K 
[   ]hardinfo2-openrc-2.2.10-r0.apk2025-05-18 22:20 1.9K 
[   ]hardinfo2-lang-2.2.10-r0.apk2025-05-18 22:20 273K 
[   ]hardinfo2-doc-2.2.10-r0.apk2025-05-18 22:20 2.7K 
[   ]hardinfo2-2.2.10-r0.apk2025-05-18 22:20 3.3M 
[   ]hardened-malloc-13-r0.apk2024-10-25 19:01 34K 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 19:01 10K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 19:01 32K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 19:01 106K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 19:01 358K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 19:01 206K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 19:01 116K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 19:01 2.0K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 19:01 156K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 19:01 2.4K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 19:01 2.0K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 19:01 6.9K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 19:01 2.2K 
[   ]halp-0.2.0-r0.apk2024-10-25 19:01 1.1M 
[   ]habitctl-0.1.0-r2.apk2024-10-25 19:01 324K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 19:01 107K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 19:01 2.7K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 19:01 8.8K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 19:01 108K 
[   ]gxlimg-0_git20240711-r0.apk2025-01-21 19:45 21K 
[   ]gx-go-doc-1.9.0-r32.apk2025-05-14 00:10 2.3K 
[   ]gx-go-1.9.0-r32.apk2025-05-14 00:10 5.1M 
[   ]gx-doc-0.14.3-r30.apk2025-05-14 00:10 2.3K 
[   ]gx-0.14.3-r30.apk2025-05-14 00:10 4.8M 
[   ]gupnp-doc-1.6.8-r0.apk2025-01-20 14:47 3.7K 
[   ]gupnp-dlna-dev-0.12.0-r0.apk2024-12-01 12:57 24K 
[   ]gupnp-dlna-0.12.0-r0.apk2024-12-01 12:57 65K 
[   ]gupnp-dev-1.6.8-r0.apk2025-01-20 14:47 50K 
[   ]gupnp-av-dev-0.14.3-r0.apk2025-01-15 23:26 41K 
[   ]gupnp-av-0.14.3-r0.apk2025-01-15 23:26 79K 
[   ]gupnp-1.6.8-r0.apk2025-01-20 14:47 88K 
[   ]gummiboot-efistub-48.1-r10.apk2025-05-30 05:47 25K 
[   ]gummiboot-doc-48.1-r10.apk2025-05-30 05:47 2.9K 
[   ]gummiboot-48.1-r10.apk2025-05-30 05:47 48K 
[   ]guish-doc-2.6.11-r0.apk2024-12-24 10:42 61K 
[   ]guish-2.6.11-r0.apk2024-12-24 10:42 99K 
[   ]gufw-pyc-24.04-r3.apk2024-11-19 21:49 65K 
[   ]gufw-lang-24.04-r3.apk2024-11-19 21:49 855K 
[   ]gufw-doc-24.04-r3.apk2024-11-19 21:49 4.5K 
[   ]gufw-24.04-r3.apk2024-11-19 21:49 596K 
[   ]guetzli-dev-0_git20191025-r2.apk2025-05-25 07:49 2.4M 
[   ]guetzli-0_git20191025-r2.apk2025-05-25 07:49 160K 
[   ]guestfs-tools-1.52.0-r3.apk2025-06-13 14:12 274K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 19:01 186K 
[   ]guake-lang-3.10-r1.apk2024-10-25 19:01 188K 
[   ]guake-3.10-r1.apk2024-10-25 19:01 305K 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 19:01 27K 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 19:01 2.5M 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 16:16 3.0K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 16:16 18K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-25 19:01 47K 
[   ]gtkhash-1.5-r0.apk2024-10-25 19:01 87K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 16:16 5.3K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 16:16 35K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-22 14:38 310K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-22 14:38 464K 
[   ]gssdp-dev-1.6.3-r0.apk2024-12-01 12:57 15K 
[   ]gssdp-1.6.3-r0.apk2024-12-01 12:57 45K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 19:01 5.8K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 19:01 16K 
[   ]gsettings-qt-dev-1.0.0-r0.apk2025-03-14 17:12 3.5K 
[   ]gsettings-qt-1.0.0-r0.apk2025-03-14 17:12 27K 
[   ]grpcurl-1.9.3-r2.apk2025-05-14 00:10 8.5M 
[   ]grpcui-1.4.3-r4.apk2025-05-29 12:00 8.9M 
[   ]grpc-java-1.73.0-r0.apk2025-06-19 06:25 1.5M 
[   ]grpc-health-check-0.1.1-r3.apk2024-10-25 19:01 1.0M 
[   ]gron-doc-0.7.1-r25.apk2025-05-25 07:49 6.3K 
[   ]gron-0.7.1-r25.apk2025-05-25 07:49 2.6M 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 19:01 144K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 19:01 6.2K 
[   ]grip-4.2.4-r0.apk2024-10-25 19:01 381K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 19:01 3.3K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 19:01 2.2K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 19:01 19K 
[   ]grcov-0.8.20-r0.apk2024-11-11 10:06 1.9M 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 19:01 52K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 19:01 41K 
[   ]granite7-7.5.0-r0.apk2024-10-25 19:01 119K 
[   ]grafana-image-renderer-openrc-3.12.6-r0.apk2025-06-07 17:09 2.0K 
[   ]grafana-image-renderer-3.12.6-r0.apk2025-06-07 17:09 81M 
[   ]gr-satellites-doc-5.5.0-r5.apk2025-04-09 02:05 4.5K 
[   ]gr-satellites-dev-5.5.0-r5.apk2025-04-09 02:05 13K 
[   ]gr-satellites-5.5.0-r5.apk2025-04-09 02:05 496K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 06:36 17K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 06:36 285K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 06:36 26K 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 06:36 51K 
[   ]gpsbabel-lang-1.9.0-r0.apk2024-10-25 19:01 88K 
[   ]gpsbabel-1.9.0-r0.apk2024-10-25 19:01 1.3M 
[   ]gprbuild-22.0.0-r3.apk2024-10-25 19:01 12M 
[   ]gpg-remailer-doc-3.04.07-r1.apk2024-10-25 19:01 9.5K 
[   ]gpg-remailer-3.04.07-r1.apk2024-10-25 19:01 45K 
[   ]gperftools-doc-2.16-r1.apk2025-04-06 18:36 307K 
[   ]gperftools-dev-2.16-r1.apk2025-04-06 18:36 782K 
[   ]gperftools-2.16-r1.apk2025-04-06 18:36 121K 
[   ]gpa-doc-0.10.0-r2.apk2024-10-25 19:01 2.9K 
[   ]gpa-0.10.0-r2.apk2024-10-25 19:01 241K 
[   ]goxel-0.15.1-r0.apk2024-10-25 19:01 1.7M 
[   ]gotify-openrc-2.5.0-r6.apk2025-05-14 00:10 2.0K 
[   ]gotify-cli-2.3.2-r5.apk2025-05-14 00:10 4.4M 
[   ]gotify-2.5.0-r6.apk2025-05-14 00:10 10M 
[   ]gost-doc-2.12.0-r6.apk2025-05-14 00:10 2.3K 
[   ]gost-2.12.0-r6.apk2025-05-14 00:10 5.9M 
[   ]gossip-doc-0.14.0-r0.apk2025-03-21 16:21 22K 
[   ]gossip-0.14.0-r0.apk2025-03-21 16:21 28M 
[   ]goshs-doc-1.1.0-r0.apk2025-06-04 22:33 2.3K 
[   ]goshs-1.1.0-r0.apk2025-06-04 22:33 6.4M 
[   ]goreman-0.3.15-r13.apk2025-05-14 00:10 2.5M 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 19:01 46K 
[   ]gomp-1.0.0-r12.apk2025-05-14 00:10 3.7M 
[   ]goguma-0.8.0-r1.apk2025-06-12 22:33 4.5M 
[   ]godot-templates-4.4.1-r0.apk2025-05-10 03:12 45M 
[   ]godot-doc-4.4.1-r0.apk2025-05-10 03:12 4.6K 
[   ]godot-4.4.1-r0.apk2025-05-10 03:12 56M 
[   ]godini-doc-1.0.0-r0.apk2025-05-27 21:27 15K 
[   ]godini-1.0.0-r0.apk2025-05-27 21:27 1.5M 
[   ]gobuster-3.6.0-r12.apk2025-05-14 00:10 3.7M 
[   ]gobang-0.1.0_alpha5-r1.apk2024-10-25 19:01 1.9M 
[   ]go-passbolt-cli-0.3.2-r3.apk2025-05-14 00:10 6.3M 
[   ]go-mtpfs-1.0.0-r27.apk2025-05-14 00:10 1.2M 
[   ]go-jsonnet-0.21.0-r0.apk2025-05-16 20:39 6.8M 
[   ]go-away-openrc-0.7.0-r0.apk2025-06-10 17:48 2.3K 
[   ]go-away-0.7.0-r0.apk2025-06-10 17:48 8.6M 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 19:01 1.6M 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 19:01 589K 
[   ]gnu-apl-1.9-r0.apk2024-10-25 19:01 1.2M 
[   ]gnome-user-share-lang-48.0-r0.apk2025-03-21 16:21 67K 
[   ]gnome-user-share-48.0-r0.apk2025-03-21 16:21 338K 
[   ]gnome-mimeapps-0.1-r0.apk2025-05-26 22:54 3.7K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 19:01 25K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 19:01 458K 
[   ]gnome-latex-lang-3.48.0-r0.apk2025-04-07 22:01 530K 
[   ]gnome-latex-doc-3.48.0-r0.apk2025-04-07 22:01 108K 
[   ]gnome-latex-3.48.0-r0.apk2025-04-07 22:01 364K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 19:01 12K 
[   ]gmsh-py-4.12.2-r2.apk2024-10-25 19:01 6.7K 
[   ]gmsh-doc-4.12.2-r2.apk2024-10-25 19:01 1.9M 
[   ]gmsh-dbg-4.12.2-r2.apk2024-10-25 19:01 144M 
[   ]gmsh-4.12.2-r2.apk2024-10-25 19:01 8.9M 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 19:26 2.2K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 19:26 14K 
[   ]gmid-2.1.1-r0.apk2024-11-27 19:26 221K 
[   ]gmic-qt-3.5.4-r0.apk2025-05-10 03:12 1.7M 
[   ]gmic-libs-3.5.4-r0.apk2025-05-10 03:12 3.2M 
[   ]gmic-doc-3.5.4-r0.apk2025-05-10 03:12 222K 
[   ]gmic-dev-3.5.4-r0.apk2025-05-10 03:12 7.6K 
[   ]gmic-bash-completion-3.5.4-r0.apk2025-05-10 03:12 29K 
[   ]gmic-3.5.4-r0.apk2025-05-10 03:12 12M 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-22 14:38 4.1K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-22 14:38 37K 
[   ]gmcapsule-pyc-0.9.7-r0.apk2025-01-08 19:12 61K 
[   ]gmcapsule-openrc-0.9.7-r0.apk2025-01-08 19:12 1.9K 
[   ]gmcapsule-0.9.7-r0.apk2025-01-08 19:12 36K 
[   ]glslviewer-3.2.4-r1.apk2025-02-08 23:48 1.8M 
[   ]glow-zsh-completion-2.1.1-r0.apk2025-06-02 03:03 4.0K 
[   ]glow-fish-completion-2.1.1-r0.apk2025-06-02 03:03 4.3K 
[   ]glow-doc-2.1.1-r0.apk2025-06-02 03:03 3.2K 
[   ]glow-bash-completion-2.1.1-r0.apk2025-06-02 03:03 6.1K 
[   ]glow-2.1.1-r0.apk2025-06-02 03:03 6.2M 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 19:01 878K 
[   ]gloox-1.0.28-r0.apk2024-10-25 19:01 364K 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 19:01 13K 
[   ]glmark2-2023.01-r1.apk2024-10-25 19:01 7.9M 
[   ]gliderlabs-sigil-doc-0.11.0-r5.apk2025-05-14 00:10 2.4K 
[   ]gliderlabs-sigil-0.11.0-r5.apk2025-05-14 00:10 3.3M 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 19:01 46K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 19:01 195K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 19:01 61K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 23:55 51K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 23:55 379K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 23:55 19K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 23:55 17K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 23:55 353K 
[   ]gitoxide-0.14.0-r1.apk2024-10-25 19:01 2.7M 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 19:01 5.7K 
[   ]git2json-0.2.3-r8.apk2024-10-25 19:01 7.4K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 19:01 17K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 19:01 15K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 19:01 42K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 19:01 5.0K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 19:01 24K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-25 19:01 2.9K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-25 19:01 12K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-25 23:38 6.2K 
[   ]git-graph-0.6.0-r0.apk2024-11-25 23:38 860K 
[   ]git-extras-doc-7.3.0-r0.apk2024-11-17 12:49 63K 
[   ]git-extras-bash-completion-7.3.0-r0.apk2024-11-17 12:49 2.8K 
[   ]git-extras-7.3.0-r0.apk2024-11-17 12:49 55K 
[   ]git-cola-pyc-4.13.0-r0.apk2025-05-14 00:10 783K 
[   ]git-cola-doc-4.13.0-r0.apk2025-05-14 00:10 5.9K 
[   ]git-cola-4.13.0-r0.apk2025-05-14 00:10 871K 
[   ]git-bug-zsh-completion-0.8.1-r1.apk2025-05-14 00:10 4.1K 
[   ]git-bug-fish-completion-0.8.1-r1.apk2025-05-14 00:10 4.3K 
[   ]git-bug-doc-0.8.1-r1.apk2025-05-14 00:10 17K 
[   ]git-bug-bash-completion-0.8.1-r1.apk2025-05-14 00:10 5.3K 
[   ]git-bug-0.8.1-r1.apk2025-05-14 00:10 10M 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 19:01 61K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 19:01 53K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 19:01 195K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 19:01 207K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 19:01 125K 
[   ]ginger-2.4.0-r7.apk2024-10-25 19:01 257K 
[   ]ginac-doc-1.8.9-r0.apk2025-05-25 07:49 98K 
[   ]ginac-dev-1.8.9-r0.apk2025-05-25 07:49 68K 
[   ]ginac-1.8.9-r0.apk2025-05-25 07:49 1.1M 
[   ]gimp-plugin-gmic-3.5.4-r0.apk2025-05-10 03:12 1.4M 
[   ]ghq-zsh-completion-1.8.0-r1.apk2025-05-14 00:10 2.5K 
[   ]ghq-fish-completion-1.8.0-r1.apk2025-05-14 00:10 2.6K 
[   ]ghq-doc-1.8.0-r1.apk2025-05-14 00:10 5.5K 
[   ]ghq-bash-completion-1.8.0-r1.apk2025-05-14 00:10 1.8K 
[   ]ghq-1.8.0-r1.apk2025-05-14 00:10 3.9M 
[   ]ghostty-zsh-completion-1.1.2_git20250615-r0.apk2025-06-15 12:01 4.1K 
[   ]ghostty-lang-1.1.2_git20250615-r0.apk2025-06-15 12:01 20K 
[   ]ghostty-fish-completion-1.1.2_git20250615-r0.apk2025-06-15 12:01 4.0K 
[   ]ghostty-doc-1.1.2_git20250615-r0.apk2025-06-15 12:01 2.3K 
[   ]ghostty-bash-completion-1.1.2_git20250615-r0.apk2025-06-15 12:01 5.8K 
[   ]ghostty-1.1.2_git20250615-r0.apk2025-06-15 12:01 19M 
[   ]ghidra-tutorials-11.2-r0.apk2024-10-31 13:40 3.9M 
[   ]ghidra-doc-11.2-r0.apk2024-10-31 13:40 36M 
[   ]ghidra-11.2-r0.apk2024-10-31 13:40 352M 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 19:01 39K 
[   ]gfan-0.6.2-r1.apk2024-10-25 19:01 1.6M 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 19:01 68K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 19:01 40K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 21:48 229K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 21:48 497K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 21:48 715K 
[   ]getssl-2.48-r0.apk2024-10-25 19:01 82K 
[   ]getmail6-pyc-6.19.08-r0.apk2025-05-15 09:51 104K 
[   ]getmail6-doc-6.19.08-r0.apk2025-05-15 09:51 138K 
[   ]getmail6-6.19.08-r0.apk2025-05-15 09:51 71K 
[   ]geotagging-0.7.4-r0.apk2024-11-12 11:18 440K 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 19:01 4.6M 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 19:01 13K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 19:01 3.0K 
[   ]geonames-0.3.1-r2.apk2024-10-25 19:01 827K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 19:01 2.0K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 19:01 7.7K 
[   ]geomyidae-0.34-r2.apk2024-10-25 19:01 15K 
[   ]geodns-openrc-3.3.0-r13.apk2025-05-14 00:10 1.8K 
[   ]geodns-logs-3.3.0-r13.apk2025-05-14 00:10 4.5M 
[   ]geodns-3.3.0-r13.apk2025-05-14 00:10 5.0M 
[   ]geoclue-stumbler-0.2.0-r0.apk2024-12-31 12:56 26K 
[   ]genact-1.4.2-r0.apk2024-10-25 19:01 1.4M 
[   ]gede-2.18.2-r1.apk2024-10-25 19:01 261K 
[   ]gearmand-openrc-1.1.21-r2.apk2025-05-22 07:16 1.8K 
[   ]gearmand-doc-1.1.21-r2.apk2025-05-22 07:16 186K 
[   ]gearmand-1.1.21-r2.apk2025-05-22 07:16 181K 
[   ]gearman-libs-1.1.21-r2.apk2025-05-22 07:16 78K 
[   ]gearman-dev-1.1.21-r2.apk2025-05-22 07:16 1.1M 
[   ]gdcm-doc-pdf-3.0.24-r0.apk2024-10-25 19:01 14M 
[   ]gdcm-doc-html-3.0.24-r0.apk2024-10-25 19:01 8.7M 
[   ]gdcm-doc-3.0.24-r0.apk2024-10-25 19:01 55K 
[   ]gdcm-dev-3.0.24-r0.apk2024-10-25 19:01 463K 
[   ]gdcm-3.0.24-r0.apk2024-10-25 19:01 373K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 18:14 28K 
[   ]gcli-2.6.1-r0.apk2025-01-26 18:14 120K 
[   ]gb-0.4.4-r31.apk2025-05-14 00:10 7.3M 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 19:01 419K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 19:01 277K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 19:01 2.4K 
[   ]gaupol-1.12-r2.apk2024-10-25 19:01 276K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 19:01 2.8K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 19:01 9.1K 
[   ]gatling-0.16-r6.apk2024-10-25 19:01 142K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 19:01 17K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 19:01 78K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 19:01 14K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 19:01 89K 
[   ]gamja-doc-1.0.0_beta11-r0.apk2025-06-15 03:57 2.3K 
[   ]gamja-1.0.0_beta11-r0.apk2025-06-15 03:57 617K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-05 23:30 7.5K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-05 23:30 5.1K 
[   ]gamemode-1.8.2-r0.apk2025-02-05 23:30 71K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 10:57 6.2K 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 08:30 4.3K 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 08:30 6.7M 
[   ]gambit-4.9.5-r1.apk2025-04-07 08:30 10M 
[   ]fzy-doc-1.0-r4.apk2025-05-27 11:07 3.6K 
[   ]fzy-1.0-r4.apk2025-05-27 11:07 14K 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 19:01 1.1M 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 19:01 5.5M 
[   ]fwallet-1.2.0-r4.apk2025-06-12 22:33 8.2M 
[   ]fuzzylite-libs-6.0-r2.apk2025-01-31 21:53 345K 
[   ]fuzzylite-doc-6.0-r2.apk2025-01-31 21:53 2.1K 
[   ]fuzzylite-dev-6.0-r2.apk2025-01-31 21:53 68K 
[   ]fuzzylite-6.0-r2.apk2025-01-31 21:53 4.1K 
[   ]futhark-0.25.28-r0.apk2025-03-12 01:07 21M 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 19:01 89K 
[   ]fusesoc-2.3-r0.apk2024-10-25 19:01 46K 
[   ]fuseiso-doc-20070708-r0.apk2025-04-14 01:40 2.6K 
[   ]fuseiso-20070708-r0.apk2025-04-14 01:40 15K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 19:01 1.7K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 19:01 21K 
[   ]fungw-tcl-1.2.1-r0.apk2024-12-30 09:48 13K 
[   ]fungw-python3-1.2.1-r0.apk2024-12-30 09:48 27K 
[   ]fungw-perl-1.2.1-r0.apk2024-12-30 09:48 48K 
[   ]fungw-mujs-1.2.1-r0.apk2024-12-30 09:48 18K 
[   ]fungw-lua-1.2.1-r0.apk2024-12-30 09:48 16K 
[   ]fungw-fawk-1.2.1-r0.apk2024-12-30 09:48 115K 
[   ]fungw-duktape-1.2.1-r0.apk2024-12-30 09:48 18K 
[   ]fungw-doc-1.2.1-r0.apk2024-12-30 09:48 13K 
[   ]fungw-dev-1.2.1-r0.apk2024-12-30 09:48 7.6K 
[   ]fungw-cli-1.2.1-r0.apk2024-12-30 09:48 24K 
[   ]fungw-c-1.2.1-r0.apk2024-12-30 09:48 8.3K 
[   ]fungw-1.2.1-r0.apk2024-12-30 09:48 14K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 19:01 22K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 19:01 7.9K 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 19:01 937K 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 19:01 1.5M 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 19:01 1.5K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 19:01 1.5K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 19:01 2.5K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 19:01 1.5K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 19:01 379K 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 19:01 751K 
[   ]freshrss-1.23.1-r1.apk2024-10-25 19:01 1.5M 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 19:01 1.2M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 19:01 2.5K 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 19:01 3.5M 
[   ]freetube-0.23.5-r1.apk2025-05-10 23:27 1.6M 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 19:01 94K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 19:01 176K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 19:01 391K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 19:01 54K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 19:01 9.5K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 19:01 23K 
[   ]freealut-1.1.0-r1.apk2024-10-25 19:01 18K 
[   ]fq-0.13.0-r5.apk2025-05-14 00:10 4.5M 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 19:01 5.6K 
[   ]fpp-0.9.5-r0.apk2024-10-25 19:01 29K 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-17 04:04 1.7M 
[   ]fplll-static-5.5.0-r0.apk2024-11-17 04:04 5.9M 
[   ]fplll-libs-5.5.0-r0.apk2024-11-17 04:04 5.2M 
[   ]fplll-dev-5.5.0-r0.apk2024-11-17 04:04 78K 
[   ]fplll-5.5.0-r0.apk2024-11-17 04:04 49K 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-25 19:01 6.3M 
[   ]fpc-doc-3.2.2-r4.apk2024-10-25 19:01 1.2M 
[   ]fpc-3.2.2-r4.apk2024-10-25 19:01 71M 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 19:01 1.5K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 19:01 3.9K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 19:01 33K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 19:01 58K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 19:01 568K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 19:01 199K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 19:01 62K 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 19:01 430K 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 19:01 2.0M 
[   ]font-siji-20190218_git-r2.apk2024-10-25 19:01 24K 
[   ]font-openmoji-15.1.0-r0.apk2025-05-27 08:37 3.6M 
[   ]font-monocraft-4.0-r0.apk2024-10-25 19:01 677K 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 19:01 2.3M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 19:01 2.7M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 19:01 2.1M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 19:01 2.1M 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 19:01 2.2M 
[   ]font-monaspace-1.101-r0.apk2024-10-25 19:01 1.5K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 19:01 652K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 19:01 852K 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 19:01 281K 
[   ]font-hanazono-20170904-r1.apk2024-10-25 19:01 29M 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 19:01 205K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 19:01 118K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 19:01 145K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 19:01 836K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 19:01 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 19:01 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 19:01 316K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 19:01 316K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 19:01 5.5K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 19:01 1.2K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 19:01 110K 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 19:01 251K 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 19:01 1.0M 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 19:01 249K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 19:01 626K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 19:01 792K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-27 14:33 507K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-27 14:33 526K 
[   ]font-cascadia-2407.24-r1.apk2025-05-27 14:33 1.3K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 19:01 18M 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-13 21:31 357K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 19:01 264K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 19:01 8.5K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 19:01 331K 
[   ]fnm-zsh-completion-1.38.1-r0.apk2024-11-25 14:28 4.3K 
[   ]fnm-fish-completion-1.38.1-r0.apk2024-11-25 14:28 4.3K 
[   ]fnm-bash-completion-1.38.1-r0.apk2024-11-25 14:28 2.8K 
[   ]fnm-1.38.1-r0.apk2024-11-25 14:28 1.9M 
[   ]fnf-doc-0.1-r0.apk2024-10-25 19:01 4.6K 
[   ]fnf-0.1-r0.apk2024-10-25 19:01 16K 
[   ]flutter-tool-developer-3.32.2-r0.apk2025-06-12 22:33 1.7K 
[   ]flutter-tool-3.32.2-r0.apk2025-06-12 22:33 15M 
[   ]flutter-gtk-3.32.2-r0.apk2025-06-12 22:33 5.3M 
[   ]flutter-glfw-3.32.2-r0.apk2025-06-12 22:33 5.3M 
[   ]flutter-developer-3.32.2-r0.apk2025-06-12 22:33 2.2M 
[   ]flutter-desktop-3.32.2-r0.apk2025-06-12 22:33 26M 
[   ]flutter-common-3.32.2-r0.apk2025-06-12 22:33 39M 
[   ]flutter-3.32.2-r0.apk2025-06-12 22:33 1.3K 
[   ]fluent-bit-openrc-3.1.10-r1.apk2025-02-22 14:38 1.6K 
[   ]fluent-bit-dev-3.1.10-r1.apk2025-02-22 14:38 115K 
[   ]fluent-bit-3.1.10-r1.apk2025-02-22 14:38 5.7M 
[   ]flowd-openrc-0.9.1-r10.apk2024-10-25 19:01 1.9K 
[   ]flowd-doc-0.9.1-r10.apk2024-10-25 19:01 10K 
[   ]flowd-dev-0.9.1-r10.apk2024-10-25 19:01 8.3K 
[   ]flowd-0.9.1-r10.apk2024-10-25 19:01 78K 
[   ]flintqs-1.0-r1.apk2024-10-25 19:01 20K 
[   ]flint-dev-3.3.1-r0.apk2025-06-17 04:27 311K 
[   ]flint-3.3.1-r0.apk2025-06-17 04:27 4.3M 
[   ]flightgear-zsh-completion-2024.1.1-r0.apk2025-03-05 00:17 7.3K 
[   ]flightgear-doc-2024.1.1-r0.apk2025-03-05 00:17 58K 
[   ]flightgear-dbg-2024.1.1-r0.apk2025-03-05 00:17 22M 
[   ]flightgear-bash-completion-2024.1.1-r0.apk2025-03-05 00:17 5.5K 
[   ]flightgear-2024.1.1-r0.apk2025-03-05 00:17 11M 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 21:07 2.2K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 21:07 1.9K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 21:07 6.0K 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 21:07 2.1K 
[   ]flawz-0.3.0-r0.apk2024-11-03 21:07 1.2M 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 19:01 4.2K 
[   ]flatseal-lang-2.3.1-r0.apk2025-06-19 15:30 79K 
[   ]flatseal-doc-2.3.1-r0.apk2025-06-19 15:30 8.5K 
[   ]flatseal-2.3.1-r0.apk2025-06-19 15:30 43K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-10-25 19:01 19K 
[   ]flare-game-1.14-r0.apk2024-10-25 19:01 2.2K 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 19:01 2.5K 
[   ]flare-engine-1.14-r0.apk2024-10-25 19:01 4.4M 
[   ]flannel-openrc-0.27.0-r0.apk2025-06-25 14:12 2.0K 
[   ]flannel-contrib-cni-0.27.0-r0.apk2025-06-25 14:12 4.3K 
[   ]flannel-0.27.0-r0.apk2025-06-25 14:12 14M 
[   ]flann-doc-1.9.2-r1.apk2025-02-22 14:38 2.5K 
[   ]flann-dev-1.9.2-r1.apk2025-02-22 14:38 963K 
[   ]flann-1.9.2-r1.apk2025-02-22 14:38 1.7M 
[   ]flang-next-static-21.0.0_pre20250617-r0.apk2025-06-18 06:46 44M 
[   ]flang-next-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 891K 
[   ]flang-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 21M 
[   ]flamelens-doc-0.3.1-r0.apk2025-04-29 07:46 3.7K 
[   ]flamelens-0.3.1-r0.apk2025-04-29 07:46 1.4M 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 19:01 2.1K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 19:01 675K 
[   ]firehol-3.1.7-r2.apk2024-10-25 19:01 85K 
[   ]firefox-developer-edition-140.0_beta9-r0.apk2025-06-19 00:23 85M 
[   ]firectl-0.2.0-r19.apk2025-05-14 00:10 3.7M 
[   ]finger-doc-0.5-r0.apk2024-10-25 19:00 3.8K 
[   ]finger-0.5-r0.apk2024-10-25 19:00 6.4K 
[   ]findtow-0.1-r0.apk2024-10-25 19:00 4.8K 
[   ]filite-0.3.0-r2.apk2024-10-25 19:00 1.1M 
[   ]fileshelter-openrc-6.2.0-r3.apk2025-02-25 06:38 1.6K 
[   ]fileshelter-6.2.0-r3.apk2025-02-25 06:38 308K 
[   ]filebrowser-openrc-2.27.0-r11.apk2025-05-14 00:10 1.8K 
[   ]filebrowser-2.27.0-r11.apk2025-05-14 00:10 7.6M 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 19:00 3.5K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 19:00 2.1K 
[   ]fildesh-0.2.0-r0.apk2024-10-25 19:00 67K 
[   ]fiery-lang-2.0.0-r0.apk2024-10-25 19:00 54K 
[   ]fiery-2.0.0-r0.apk2024-10-25 19:00 269K 
[   ]fheroes2-lang-1.1.8-r0.apk2025-05-04 21:02 1.7M 
[   ]fheroes2-1.1.8-r0.apk2025-05-04 21:02 1.7M 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 19:00 4.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 19:00 3.6K 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 19:00 3.6K 
[   ]ffsend-0.2.76-r4.apk2024-10-25 19:00 1.5M 
[   ]ffms2-doc-5.0-r0.apk2024-10-25 19:00 30K 
[   ]ffms2-dev-5.0-r0.apk2024-10-25 19:00 7.6K 
[   ]ffms2-5.0-r0.apk2024-10-25 19:00 70K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 19:00 345K 
[   ]fff-doc-2.2-r0.apk2024-10-25 19:00 9.0K 
[   ]fff-2.2-r0.apk2024-10-25 19:00 11K 
[   ]femto-doc-2.21.7-r0.apk2025-06-19 06:37 42K 
[   ]femto-2.21.7-r0.apk2025-06-19 06:37 60K 
[   ]felix-2.16.1-r0.apk2025-05-16 08:59 648K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 19:00 463K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 19:00 709K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 19:00 60K 
[   ]fceux-doc-2.6.6-r2.apk2024-10-25 19:00 106K 
[   ]fceux-2.6.6-r2.apk2024-10-25 19:00 2.9M 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 19:00 2.2K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 19:00 4.5K 
[   ]fava-pyc-1.28-r0.apk2024-10-25 19:00 164K 
[   ]fava-1.28-r0.apk2024-10-25 19:00 1.1M 
[   ]faust-vim-2.79.3-r0.apk2025-06-07 17:01 2.6K 
[   ]faust-tools-2.79.3-r0.apk2025-06-07 17:01 120K 
[   ]faust-static-2.79.3-r0.apk2025-06-07 17:01 521K 
[   ]faust-doc-2.79.3-r0.apk2025-06-07 17:01 17M 
[   ]faust-dev-2.79.3-r0.apk2025-06-07 17:01 1.4M 
[   ]faust-2.79.3-r0.apk2025-06-07 17:01 8.1M 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 19:00 3.0K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 19:00 2.3K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 19:00 12K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 19:00 15K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 19:00 8.7K 
[   ]fatrace-doc-0.17.0-r0.apk2024-10-25 19:00 3.3K 
[   ]fatrace-0.17.0-r0.apk2024-10-25 19:00 10K 
[   ]fathom-1.3.1-r13.apk2025-05-14 00:10 5.0M 
[   ]fatback-doc-1.3-r2.apk2024-10-25 19:00 16K 
[   ]fatback-1.3-r2.apk2024-10-25 19:00 28K 
[   ]fastd-openrc-23-r0.apk2025-01-29 16:00 1.7K 
[   ]fastd-doc-23-r0.apk2025-01-29 16:00 3.3K 
[   ]fastd-23-r0.apk2025-01-29 16:00 73K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 19:00 28K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 19:00 60K 
[   ]fabric-3.2.2-r1.apk2024-10-25 19:00 55K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-10-25 19:00 2.8K 
[   ]f_scripts-f_web-0.6-r1.apk2024-10-25 19:00 2.9K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-10-25 19:00 2.4K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-10-25 19:00 2.6K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-10-25 19:00 2.5K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-10-25 19:00 6.0K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-10-25 19:00 3.0K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-10-25 19:00 2.1K 
[   ]f_scripts-f_game-0.6-r1.apk2024-10-25 19:00 1.9K 
[   ]f_scripts-f_files-0.6-r1.apk2024-10-25 19:00 2.9K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-10-25 19:00 3.3K 
[   ]f_scripts-0.6-r1.apk2024-10-25 19:00 1.4K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 19:00 39K 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 19:00 6.7K 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 19:00 40M 
[   ]extrace-doc-0.9-r0.apk2024-10-25 19:00 3.5K 
[   ]extrace-0.9-r0.apk2024-10-25 19:00 9.4K 
[   ]exercism-zsh-completion-3.2.0-r13.apk2025-05-14 18:16 2.1K 
[   ]exercism-fish-completion-3.2.0-r13.apk2025-05-14 18:16 2.4K 
[   ]exercism-bash-completion-3.2.0-r13.apk2025-05-14 18:16 2.0K 
[   ]exercism-3.2.0-r13.apk2025-05-14 18:16 4.2M 
[   ]exabgp-pyc-4.2.24-r0.apk2025-03-15 22:11 778K 
[   ]exabgp-openrc-4.2.24-r0.apk2025-03-15 22:11 2.2K 
[   ]exabgp-doc-4.2.24-r0.apk2025-03-15 22:11 8.0K 
[   ]exabgp-4.2.24-r0.apk2025-03-15 22:11 385K 
[   ]eww-dbg-0.4.0-r1.apk2024-10-25 19:00 651K 
[   ]eww-0.4.0-r1.apk2024-10-25 19:00 1.5M 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 14:24 9.9K 
[   ]eva-0.3.1-r2.apk2024-10-25 19:00 632K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 19:00 45K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 19:00 556K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 19:00 549K 
[   ]esptool-4.8.1-r0.apk2024-10-25 19:00 424K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 19:00 1.8K 
[   ]espeakup-0.90-r2.apk2024-10-25 19:00 11K 
[   ]errands-lang-46.2.8-r0.apk2025-05-22 16:45 71K 
[   ]errands-46.2.8-r0.apk2025-05-22 16:45 84K 
[   ]ergo-ldap-doc-0.0.1-r17.apk2025-05-14 00:10 2.3K 
[   ]ergo-ldap-0.0.1-r17.apk2025-05-14 00:10 2.3M 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 19:00 24K 
[   ]epr-2.4.15-r1.apk2024-10-25 19:00 16K 
[   ]epoch-1.3.0-r2.apk2024-10-25 19:00 55K 
[   ]envsubst-0.1-r1.apk2024-10-25 19:00 4.7K 
[   ]envconsul-0.13.3-r3.apk2025-05-14 00:10 5.1M 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 19:00 3.5K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 19:00 7.0K 
[   ]enjoy-0.3-r1.apk2024-10-25 19:00 12K 
[   ]endlessh-doc-1.1-r1.apk2025-05-25 07:49 2.7K 
[   ]endlessh-1.1-r1.apk2025-05-25 07:49 9.1K 
[   ]endless-sky-doc-0.10.2-r0.apk2024-10-25 19:00 37K 
[   ]endless-sky-0.10.2-r0.apk2024-10-25 19:00 242M 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 21:48 203K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 21:48 68K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 21:48 46K 
[   ]endeavour-43.0-r2.apk2024-12-08 21:48 191K 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-10-25 19:00 3.3M 
[   ]emulationstation-2.11.2-r1.apk2024-10-25 19:00 1.3M 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 19:00 1.9K 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 19:00 2.3K 
[   ]empede-0.2.3-r0.apk2024-10-25 19:00 1.6M 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-04-30 22:48 14K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-04-30 22:48 18K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-04-23 05:42 18K 
[   ]emacs-taxy-0.10.2-r0.apk2025-04-23 05:42 11K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 19:00 19K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 19:00 17K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 19:00 29K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 19:00 6.6K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-13 21:31 2.3K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-13 21:31 410K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-10-25 19:00 9.9K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 19:00 46K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 19:00 9.8K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 19:00 815K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 19:00 16K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 19:00 62K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 19:00 15K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 19:00 37K 
[   ]emacs-ement-0.16-r0.apk2025-04-23 05:11 291K 
[   ]emacs-embark-consult-1.1-r0.apk2025-04-23 05:42 10K 
[   ]emacs-embark-1.1-r0.apk2025-04-23 05:42 111K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 19:00 4.3K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 19:00 17K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 19:00 5.9K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 19:00 6.1K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 19:00 23K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-04-23 05:11 91K 
[   ]emacs-derl-0_git20231004-r0.apk2024-10-25 19:00 23K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 19:00 138K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 19:00 14K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 19:00 55K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-04-23 05:42 3.8K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 19:00 43K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 19:00 23K 
[   ]eludris-doc-0.3.3-r1.apk2024-10-25 19:00 2.3K 
[   ]eludris-0.3.3-r1.apk2024-10-25 19:00 1.9M 
[   ]elfio-dev-3.12-r0.apk2024-10-25 19:00 55K 
[   ]elfio-3.12-r0.apk2024-10-25 19:00 1.4K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 19:00 108K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 19:00 108K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-10 22:06 85K 
[   ]elementary-videos-8.0.1-r0.apk2024-11-10 22:06 110K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 20:52 1.5M 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 22:08 83K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-05-26 09:05 1.8K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-05-26 09:05 74K 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-05-26 09:05 82K 
[   ]elementary-photos-lang-8.0.1-r0.apk2024-12-02 23:40 1.0M 
[   ]elementary-photos-8.0.1-r0.apk2024-12-02 23:40 1.2M 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 22:06 47K 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 22:06 73K 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-14 00:10 5.0M 
[   ]elementary-feedback-lang-8.0.1-r0.apk2025-05-14 00:10 46K 
[   ]elementary-feedback-8.0.1-r0.apk2025-05-14 00:10 45K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-24 22:57 28K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-24 22:57 88K 
[   ]elementary-camera-lang-8.0.1-r0.apk2025-05-14 00:10 34K 
[   ]elementary-camera-8.0.1-r0.apk2025-05-14 00:10 84K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 22:05 57K 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 22:05 71K 
[   ]element-desktop-1.11.103-r0.apk2025-06-12 22:33 32M 
[   ]electron-tasje-0.7.3-r0.apk2024-10-25 19:00 1.2M 
[   ]electron-lang-35.6.0-r0.apk2025-06-27 04:23 10M 
[   ]electron-dev-35.6.0-r0.apk2025-06-27 04:23 335K 
[   ]electron-35.6.0-r0.apk2025-06-27 04:23 94M 
[   ]eiwd-openrc-3.8-r0.apk2025-05-14 00:10 1.9K 
[   ]eiwd-doc-3.8-r0.apk2025-05-14 00:10 20K 
[   ]eiwd-3.8-r0.apk2025-05-14 00:10 843K 
[   ]efl-gdb-1.28.1-r2.apk2025-03-24 04:33 1.7K 
[   ]efl-dev-1.28.1-r2.apk2025-03-24 04:33 1.8M 
[   ]efl-1.28.1-r2.apk2025-03-24 04:33 34M 
[   ]efibootguard-zsh-completion-0.16-r0.apk2024-10-25 19:00 2.9K 
[   ]efibootguard-dev-0.16-r0.apk2024-10-25 19:00 22K 
[   ]efibootguard-bash-completion-0.16-r0.apk2024-10-25 19:00 3.6K 
[   ]efibootguard-0.16-r0.apk2024-10-25 19:00 103K 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 19:00 5.3K 
[   ]edward-1.1.0-r0.apk2024-10-25 19:00 2.0M 
[   ]edit-doc-1.2.0-r0.apk2025-06-12 22:33 2.2K 
[   ]edit-1.2.0-r0.apk2025-06-12 22:33 252K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 19:00 28K 
[   ]ecos-2.0.10-r0.apk2024-10-25 19:00 39K 
[   ]eclipse-ecj-4.35-r0.apk2025-06-10 21:46 2.5M 
[   ]eclib-static-20250616-r0.apk2025-06-17 04:27 20M 
[   ]eclib-libs-20250616-r0.apk2025-06-17 04:27 1.2M 
[   ]eclib-doc-20250616-r0.apk2025-06-17 04:27 28K 
[   ]eclib-dev-20250616-r0.apk2025-06-17 04:27 96K 
[   ]eclib-20250616-r0.apk2025-06-17 04:27 328K 
[   ]eccodes-2.41.0-r0.apk2025-05-05 17:39 11M 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-24 21:28 38K 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-24 21:28 1.1M 
[   ]ecasound-2.9.3-r4.apk2025-02-24 21:28 674K 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 19:00 4.7K 
[   ]eboard-1.1.3-r1.apk2024-10-25 19:00 1.4M 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 19:00 4.3K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 13:22 380K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 13:22 27K 
[   ]e16-1.0.30-r0.apk2024-11-05 13:22 783K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 19:00 3.1K 
[   ]dwl-0.7-r0.apk2024-10-25 19:00 27K 
[   ]dvisvgm-doc-3.4.4-r0.apk2025-05-18 22:44 26K 
[   ]dvisvgm-3.4.4-r0.apk2025-05-18 22:44 1.1M 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 19:00 1.4K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 19:00 7.6K 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 19:00 16K 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 19:00 5.1M 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 19:00 798K 
[   ]dum-0.1.20-r1.apk2025-04-03 00:11 347K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 19:00 20K 
[   ]dufs-zsh-completion-0.43.0-r0.apk2025-01-23 18:58 2.7K 
[   ]dufs-fish-completion-0.43.0-r0.apk2025-01-23 18:58 2.4K 
[   ]dufs-doc-0.43.0-r0.apk2025-01-23 18:58 10K 
[   ]dufs-bash-completion-0.43.0-r0.apk2025-01-23 18:58 2.3K 
[   ]dufs-0.43.0-r0.apk2025-01-23 18:58 1.6M 
[   ]duf-0.8.1-r26.apk2025-05-14 00:10 1.1M 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 19:00 9.1K 
[   ]duc-1.4.5-r0.apk2024-10-25 19:00 84K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 19:00 2.3K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 19:00 6.9K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 19:00 2.9K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 19:00 45K 
[   ]dstask-zsh-completion-0.26-r14.apk2025-05-14 00:10 1.7K 
[   ]dstask-import-0.26-r14.apk2025-05-14 00:10 3.5M 
[   ]dstask-bash-completion-0.26-r14.apk2025-05-14 00:10 2.1K 
[   ]dstask-0.26-r14.apk2025-05-14 00:10 1.5M 
[   ]dsp-doc-2.0-r0.apk2025-05-14 00:10 10K 
[   ]dsp-2.0-r0.apk2025-05-14 00:10 159K 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 17:27 57K 
[   ]drupal7-7.103-r0.apk2024-12-04 17:27 3.3M 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 19:00 395K 
[   ]dropwatch-doc-1.5.5-r0.apk2025-06-25 14:11 3.7K 
[   ]dropwatch-1.5.5-r0.apk2025-06-25 14:11 15K 
[   ]drone-cli-1.8.0-r10.apk2025-05-14 00:10 6.1M 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-09 01:40 27K 
[   ]droidcam-2.1.3-r1.apk2024-11-09 01:40 18K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 07:16 2.3K 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 07:16 121K 
[   ]drogon-1.9.4-r2.apk2025-05-22 07:16 1.5M 
[   ]draw-0.1.1-r13.apk2025-05-14 00:10 1.0M 
[   ]draco-tools-1.5.7-r2.apk2025-02-22 14:38 1.2M 
[   ]draco-static-1.5.7-r2.apk2025-02-22 14:38 1.4M 
[   ]draco-dev-1.5.7-r2.apk2025-02-22 14:38 205K 
[   ]draco-1.5.7-r2.apk2025-02-22 14:38 817K 
[   ]dprint-zsh-completion-0.49.1-r0.apk2025-04-13 22:35 4.1K 
[   ]dprint-fish-completion-0.49.1-r0.apk2025-04-13 22:35 3.8K 
[   ]dprint-doc-0.49.1-r0.apk2025-04-13 22:35 3.2K 
[   ]dprint-bash-completion-0.49.1-r0.apk2025-04-13 22:35 3.2K 
[   ]dprint-0.49.1-r0.apk2025-04-13 22:35 4.1M 
[   ]downloader-cli-0.3.4-r2.apk2025-05-14 18:16 2.0K 
[   ]dotnet6-templates-6.0.136-r1.apk2024-11-25 04:50 5.9M 
[   ]dotnet6-targeting-pack-6.0.36-r1.apk2024-11-25 04:50 3.1M 
[   ]dotnet6-stage0-bootstrap-6.0.116-r4.apk2024-10-25 19:00 409M 
[   ]dotnet6-stage0-artifacts-6.0.116-r4.apk2024-10-25 19:00 1.0G 
[   ]dotnet6-stage0-6.0.116-r4.apk2024-10-25 19:00 1.2K 
[   ]dotnet6-sdk-6.0.136-r1.apk2024-11-25 04:50 103M 
[   ]dotnet6-runtime-6.0.36-r1.apk2024-11-25 04:50 28M 
[   ]dotnet6-hostfxr-6.0.36-r1.apk2024-11-25 04:50 159K 
[   ]dotnet6-build-6.0.136-r1.apk2024-11-25 04:50 1.2G 
[   ]dotnet6-artifacts-6.0.136-r1.apk2024-11-25 04:50 442M 
[   ]dotnet6-apphost-pack-6.0.36-r1.apk2024-11-25 04:49 4.6M 
[   ]dotnet-zsh-completion-6.0.136-r1.apk2024-11-25 04:49 1.7K 
[   ]dotnet-host-6.0.36-r1.apk2024-11-25 04:49 34K 
[   ]dotnet-doc-6.0.136-r1.apk2024-11-25 04:49 113K 
[   ]dotnet-bash-completion-6.0.136-r1.apk2024-11-25 04:49 1.8K 
[   ]dotenv-linter-3.3.0-r1.apk2024-10-25 18:59 1.0M 
[   ]dooit-pyc-3.2.2-r0.apk2025-05-16 08:40 100K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 20:27 23K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 20:27 13K 
[   ]dooit-3.2.2-r0.apk2025-05-16 08:40 45K 
[   ]dolt-1.55.3-r0.apk2025-06-26 11:40 37M 
[   ]dodo-pyc-0_git20250313-r0.apk2025-04-24 08:41 87K 
[   ]dodo-0_git20250313-r0.apk2025-04-24 08:41 187K 
[   ]dockerize-0.9.3-r1.apk2025-05-14 00:10 3.5M 
[   ]docker-volume-local-persist-openrc-1.3.0-r33.apk2025-05-14 00:10 1.8K 
[   ]docker-volume-local-persist-1.3.0-r33.apk2025-05-14 00:10 2.6M 
[   ]docker-machine-driver-kvm2-1.34.0-r5.apk2025-05-14 00:10 4.3M 
[   ]docker-auth-openrc-1.13.0-r4.apk2025-05-14 00:10 2.1K 
[   ]docker-auth-doc-1.13.0-r4.apk2025-05-14 00:10 10K 
[   ]docker-auth-1.13.0-r4.apk2025-05-14 00:10 9.9M 
[   ]doasedit-1.0.7-r0.apk2024-10-25 18:59 3.6K 
[   ]dnssec-tools-doc-2.2.3-r12.apk2024-10-25 18:59 315K 
[   ]dnssec-tools-dev-2.2.3-r12.apk2024-10-25 18:59 188K 
[   ]dnssec-tools-2.2.3-r12.apk2024-10-25 18:59 757K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 18:59 35K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 18:59 71K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 18:59 5.2K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 18:59 21K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 18:59 29K 
[   ]dnscontrol-doc-4.21.0-r0.apk2025-06-05 06:01 2.3K 
[   ]dnscontrol-4.21.0-r0.apk2025-06-05 06:01 16M 
[   ]dnote-zsh-completion-0.15.1-r5.apk2025-05-14 00:10 2.0K 
[   ]dnote-doc-0.15.1-r5.apk2025-05-14 00:10 15K 
[   ]dnote-bash-completion-0.15.1-r5.apk2025-05-14 00:10 2.1K 
[   ]dnote-0.15.1-r5.apk2025-05-14 00:10 4.6M 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 22:00 46K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 22:00 1.8K 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 22:00 25K 
[   ]dmarc-cat-0.15.0-r5.apk2025-05-14 00:10 2.8M 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 18:59 2.4M 
[   ]dlib-19.24.4-r0.apk2024-10-25 18:59 765K 
[   ]dive-0.13.0-r2.apk2025-05-14 00:10 4.0M 
[   ]dislocker-libs-0.7.3-r5.apk2024-10-25 18:59 43K 
[   ]dislocker-doc-0.7.3-r5.apk2024-10-25 18:59 6.1K 
[   ]dislocker-0.7.3-r5.apk2024-10-25 18:59 12K 
[   ]diskus-0.8.0-r0.apk2025-05-18 22:20 338K 
[   ]diskonaut-0.11.0-r3.apk2024-10-25 18:59 440K 
[   ]disfetch-3.7-r0.apk2024-10-25 18:59 8.3K 
[   ]dis4irc-openrc-1.6.5-r1.apk2025-05-27 05:43 1.9K 
[   ]dis4irc-doc-1.6.5-r1.apk2025-05-27 05:43 2.3K 
[   ]dis4irc-1.6.5-r1.apk2025-05-27 05:43 19M 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 22:49 18K 
[   ]diceware-1.0.1-r0.apk2025-01-13 22:49 334K 
[   ]dhewm3-1.5.4-r0.apk2025-02-22 14:38 5.0M 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 18:59 5.8K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 18:59 2.8K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 18:59 35K 
[   ]dfl-sni-dev-0.2.0-r0.apk2024-10-25 18:59 4.1K 
[   ]dfl-sni-0.2.0-r0.apk2024-10-25 18:59 30K 
[   ]dfl-login1-dev-0.2.0-r0.apk2024-10-25 18:59 3.5K 
[   ]dfl-login1-0.2.0-r0.apk2024-10-25 18:59 16K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2024-10-25 18:59 3.6K 
[   ]dfl-ipc-0.2.0-r0.apk2024-10-25 18:59 19K 
[   ]dfl-applications-dev-0.2.0-r0.apk2024-10-25 18:59 4.1K 
[   ]dfl-applications-0.2.0-r0.apk2024-10-25 18:59 31K 
[   ]dewduct-0.2.3-r0.apk2024-10-25 18:59 1.1M 
[   ]devpod-zsh-completion-0.6.15-r4.apk2025-05-14 00:10 4.0K 
[   ]devpod-fish-completion-0.6.15-r4.apk2025-05-14 00:10 4.3K 
[   ]devpod-bash-completion-0.6.15-r4.apk2025-05-14 00:10 5.1K 
[   ]devpod-0.6.15-r4.apk2025-05-14 00:10 23M 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 18:59 13K 
[   ]devil-1.8.0-r0.apk2024-10-25 18:59 241K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 18:59 21K 
[   ]detox-2.0.0-r0.apk2024-10-25 18:59 108K 
[   ]desync-0.9.6-r5.apk2025-05-14 00:10 7.9M 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 18:59 2.9K 
[   ]desed-1.2.1-r1.apk2024-10-25 18:59 386K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 18:59 26K 
[   ]decoder-lang-0.7.0-r0.apk2025-04-10 14:09 59K 
[   ]decoder-0.7.0-r0.apk2025-04-10 14:09 1.9M 
[   ]deblob-doc-0.10-r0.apk2025-05-03 10:05 3.8K 
[   ]deblob-0.10-r0.apk2025-05-03 10:05 107K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 18:59 6.7K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 18:59 132K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 18:59 27K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 18:59 1.9K 
[   ]debconf-1.5.82-r0.apk2024-10-25 18:59 69K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 18:59 6.0K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 18:59 12K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 18:59 2.7K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 18:59 2.3K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 18:59 12K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 18:59 2.2K 
[   ]ddgr-2.2-r0.apk2024-10-25 18:59 20K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-21 16:20 19K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 18:59 62K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 18:59 6.6K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 18:59 28K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-19 16:47 1.7K 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-19 16:47 257K 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-19 16:47 1.6M 
[   ]dcmtk-3.6.9-r0.apk2025-01-19 16:47 1.3M 
[   ]dbus-broker-doc-37-r0.apk2025-06-17 10:22 5.9K 
[   ]dbus-broker-37-r0.apk2025-06-17 10:22 85K 
[   ]dbmate-doc-2.26.0-r2.apk2025-05-14 00:10 2.3K 
[   ]dbmate-2.26.0-r2.apk2025-05-14 00:10 11M 
[   ]davmail-6.3.0-r0.apk2025-04-17 17:47 9.4M 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 18:59 2.1K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 18:59 11K 
[   ]dasht-2.4.0-r0.apk2024-10-25 18:59 14K 
[   ]darts-clone-dev-0_git20181117-r1.apk2025-05-25 07:49 13K 
[   ]darts-clone-0_git20181117-r1.apk2025-05-25 07:49 38K 
[   ]dartaotruntime-3.8.1-r0.apk2025-06-12 22:33 1.5M 
[   ]dart-stage0-3.8.0_alpha237_p0-r0.apk2025-06-12 22:33 181M 
[   ]dart-sdk-3.8.1-r0.apk2025-06-12 22:33 130M 
[   ]dart-sass-1.89.0-r1.apk2025-06-12 22:33 1.4M 
[   ]dart-3.8.1-r0.apk2025-06-12 22:33 47M 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 18:59 2.3K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 18:59 1.9K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 18:59 8.7K 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 18:59 2.2K 
[   ]daktilo-0.6.0-r0.apk2024-10-25 18:59 1.8M 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 18:59 2.0K 
[   ]daemontools-0.76-r3.apk2024-10-25 18:59 61K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 18:59 219K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 18:59 6.9K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 18:59 2.3K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 18:59 6.7K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 18:59 8.7K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 18:59 17K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 18:59 46K 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-11 11:18 60K 
[   ]cvise-2.11.0-r0.apk2025-03-11 11:18 5.2M 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 18:59 3.6K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 18:59 6.6K 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 18:59 324K 
[   ]cutechess-1.3.1-r0.apk2024-10-25 18:59 1.1M 
[   ]curtail-lang-1.11.1-r0.apk2024-10-25 18:59 66K 
[   ]curtail-1.11.1-r0.apk2024-10-25 18:59 27K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 18:59 6.1K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 18:59 25K 
[   ]cura-lang-5.2.2-r1.apk2024-10-25 18:59 4.1M 
[   ]cura-5.2.2-r1.apk2024-10-25 18:59 42M 
[   ]cups-pdf-3.0.1-r2.apk2024-10-25 18:59 22K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 18:59 86K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 18:59 3.8K 
[   ]csol-1.6.0-r0.apk2024-10-25 18:59 38K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 18:59 3.1K 
[   ]csmith-2.3.0-r2.apk2024-10-25 18:59 304K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 18:59 204K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 18:59 77K 
[   ]csfml-2.5.2-r0.apk2024-10-25 18:59 93K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 18:59 7.5K 
[   ]cscope-15.9-r1.apk2024-10-25 18:59 151K 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 11:40 13K 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 11:40 1.1M 
[   ]crowdsec-splunk-plugin-1.6.9-r0.apk2025-06-17 20:09 10M 
[   ]crowdsec-slack-plugin-1.6.9-r0.apk2025-06-17 20:09 10M 
[   ]crowdsec-sentinel-plugin-1.6.9-r0.apk2025-06-17 20:09 10M 
[   ]crowdsec-openrc-1.6.9-r0.apk2025-06-17 20:09 1.8K 
[   ]crowdsec-http-plugin-1.6.9-r0.apk2025-06-17 20:09 10M 
[   ]crowdsec-email-plugin-1.6.9-r0.apk2025-06-17 20:09 10M 
[   ]crowdsec-1.6.9-r0.apk2025-06-17 20:09 36M 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 18:59 39K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 18:59 30K 
[   ]criu-doc-3.19-r3.apk2025-06-12 13:59 24K 
[   ]criu-dev-3.19-r3.apk2025-06-12 13:59 91K 
[   ]criu-3.19-r3.apk2025-06-12 13:59 684K 
[   ]crispy-doom-doc-7.0-r0.apk2024-10-25 18:59 107K 
[   ]crispy-doom-7.0-r0.apk2024-10-25 18:59 1.7M 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 18:59 88K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 18:59 8.7K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 18:59 31K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 18:59 2.9K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 18:59 47K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 18:59 34K 
[   ]cpufetch-doc-1.06-r0.apk2024-10-25 18:59 3.1K 
[   ]cpufetch-1.06-r0.apk2024-10-25 18:59 43K 
[   ]cpuburn-1.4a_git20160316-r2.apk2024-10-25 18:59 4.1K 
[   ]cpu-x-zsh-completion-5.2.0-r1.apk2025-05-06 10:05 2.1K 
[   ]cpu-x-lang-5.2.0-r1.apk2025-05-06 10:05 266K 
[   ]cpu-x-fish-completion-5.2.0-r1.apk2025-05-06 10:05 2.2K 
[   ]cpu-x-bash-completion-5.2.0-r1.apk2025-05-06 10:05 2.0K 
[   ]cpu-x-5.2.0-r1.apk2025-05-06 10:05 2.1M 
[   ]cproc-doc-0_git20240427-r1.apk2024-11-03 21:51 2.8K 
[   ]cproc-dbg-0_git20240427-r1.apk2024-11-03 21:51 121K 
[   ]cproc-0_git20240427-r1.apk2024-11-03 21:51 52K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-13 23:26 99K 
[   ]cpplint-2.0.2-r0.apk2025-04-13 23:26 80K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 18:59 6.4K 
[   ]cpdf-doc-2.8.1-r0.apk2025-05-10 03:12 558K 
[   ]cpdf-2.8.1-r0.apk2025-05-10 03:12 2.1M 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 18:59 311K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 18:59 57K 
[   ]coxeter-3.0-r1.apk2024-10-25 18:59 48K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 18:59 4.0K 
[   ]cowsay-3.04-r2.apk2024-10-25 18:59 18K 
[   ]cosmopolitan-doc-1.0-r0.apk2024-10-25 18:59 152K 
[   ]cosmopolitan-1.0-r0.apk2024-10-25 18:59 5.5M 
[   ]cosmic-workspaces-1.0.0_alpha7-r0.apk2025-04-25 10:49 6.3M 
[   ]cosmic-term-1.0.0_alpha7-r0.apk2025-04-25 10:49 9.0M 
[   ]cosmic-store-1.0.0_alpha7-r0.apk2025-04-25 10:49 7.7M 
[   ]cosmic-settings-daemon-1.0.0_alpha7-r0.apk2025-04-25 10:49 1.3M 
[   ]cosmic-settings-1.0.0_alpha7-r0.apk2025-04-25 10:49 12M 
[   ]cosmic-session-1.0.0_alpha7-r2.apk2025-04-25 10:49 1.2M 
[   ]cosmic-screenshot-1.0.0_alpha7-r0.apk2025-04-25 10:49 872K 
[   ]cosmic-randr-1.0.0_alpha7-r0.apk2025-04-25 10:49 418K 
[   ]cosmic-player-1.0.0_alpha7-r0.apk2025-04-25 10:49 6.6M 
[   ]cosmic-panel-1.0.0_alpha7-r0.apk2025-04-25 10:49 4.1M 
[   ]cosmic-osd-1.0.0_alpha7-r1.apk2025-06-06 09:42 5.0M 
[   ]cosmic-notifications-1.0.0_alpha7-r0.apk2025-04-25 10:49 6.2M 
[   ]cosmic-launcher-1.0.0_alpha7-r0.apk2025-04-25 10:49 5.8M 
[   ]cosmic-idle-1.0.0_alpha7-r0.apk2025-04-25 10:49 1.1M 
[   ]cosmic-icons-1.0.0_alpha7-r0.apk2025-04-25 10:49 231K 
[   ]cosmic-greeter-1.0.0_alpha7-r0.apk2025-04-25 10:49 7.3M 
[   ]cosmic-files-1.0.0_alpha7-r0.apk2025-04-25 10:49 19M 
[   ]cosmic-edit-1.0.0_alpha7-r0.apk2025-04-25 10:49 10M 
[   ]cosmic-comp-1.0.0_alpha7-r1.apk2025-04-25 10:49 6.2M 
[   ]cosmic-bg-1.0.0_alpha7-r0.apk2025-04-25 10:49 1.7M 
[   ]cosmic-applets-1.0.0_alpha7-r1.apk2025-05-16 17:41 11M 
[   ]cosmic-app-library-1.0.0_alpha7-r0.apk2025-04-25 10:49 6.9M 
[   ]cortex-tenant-openrc-1.15.2-r6.apk2025-05-14 00:10 2.0K 
[   ]cortex-tenant-1.15.2-r6.apk2025-05-14 00:10 4.3M 
[   ]corosync-openrc-3.1.9-r0.apk2025-05-29 04:04 1.8K 
[   ]corosync-doc-3.1.9-r0.apk2025-05-29 04:04 190K 
[   ]corosync-dev-3.1.9-r0.apk2025-05-29 04:04 478K 
[   ]corosync-3.1.9-r0.apk2025-05-29 04:04 268K 
[   ]copyq-doc-10.0.0-r0.apk2025-06-25 14:11 3.5K 
[   ]copyq-bash-completion-10.0.0-r0.apk2025-06-25 14:11 2.3K 
[   ]copyq-10.0.0-r0.apk2025-06-25 14:11 2.6M 
[   ]convert2json-yaml-json-2.2.2-r0.apk2025-05-26 00:38 267K 
[   ]convert2json-yaml-jaq-2.2.2-r0.apk2025-05-26 00:38 278K 
[   ]convert2json-yaml-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-xml-json-2.2.2-r0.apk2025-05-26 00:38 202K 
[   ]convert2json-xml-jaq-2.2.2-r0.apk2025-05-26 00:38 213K 
[   ]convert2json-xml-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-toml-json-2.2.2-r0.apk2025-05-26 00:38 255K 
[   ]convert2json-toml-jaq-2.2.2-r0.apk2025-05-26 00:38 265K 
[   ]convert2json-toml-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-rsv-json-2.2.2-r0.apk2025-05-26 00:38 168K 
[   ]convert2json-rsv-jaq-2.2.2-r0.apk2025-05-26 00:38 181K 
[   ]convert2json-rsv-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-plist-json-2.2.2-r0.apk2025-05-26 00:38 246K 
[   ]convert2json-plist-jaq-2.2.2-r0.apk2025-05-26 00:38 257K 
[   ]convert2json-plist-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-messagepack-json-2.2.2-r0.apk2025-05-26 00:38 204K 
[   ]convert2json-messagepack-jaq-2.2.2-r0.apk2025-05-26 00:38 215K 
[   ]convert2json-messagepack-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-json-2.2.2-r0.apk2025-05-26 00:38 1.4K 
[   ]convert2json-jaq-2.2.2-r0.apk2025-05-26 00:38 1.4K 
[   ]convert2json-ini-json-2.2.2-r0.apk2025-05-26 00:38 190K 
[   ]convert2json-ini-jaq-2.2.2-r0.apk2025-05-26 00:38 201K 
[   ]convert2json-ini-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-doc-2.2.2-r0.apk2025-05-26 00:38 13K 
[   ]convert2json-csv-json-2.2.2-r0.apk2025-05-26 00:38 224K 
[   ]convert2json-csv-jaq-2.2.2-r0.apk2025-05-26 00:38 235K 
[   ]convert2json-csv-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-cbor-json-2.2.2-r0.apk2025-05-26 00:38 207K 
[   ]convert2json-cbor-jaq-2.2.2-r0.apk2025-05-26 00:38 218K 
[   ]convert2json-cbor-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-bson-json-2.2.2-r0.apk2025-05-26 00:38 238K 
[   ]convert2json-bson-jaq-2.2.2-r0.apk2025-05-26 00:38 248K 
[   ]convert2json-bson-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]contractor-0.3.5-r0.apk2024-11-12 21:55 26K 
[   ]consul-replicate-0.4.0-r31.apk2025-05-14 00:10 3.0M 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 18:59 4.7K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 18:59 9.6K 
[   ]conntracct-openrc-0.2.7-r31.apk2025-05-14 00:10 1.9K 
[   ]conntracct-0.2.7-r31.apk2025-05-14 00:10 5.2M 
[   ]compiz-utils-0.9.14.2-r11.apk2025-06-12 13:59 3.3K 
[   ]compiz-pyc-0.9.14.2-r11.apk2025-06-12 13:59 112K 
[   ]compiz-lang-0.9.14.2-r11.apk2025-06-12 13:59 1.2M 
[   ]compiz-dev-0.9.14.2-r11.apk2025-06-12 13:59 117K 
[   ]compiz-0.9.14.2-r11.apk2025-06-12 13:59 6.0M 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 18:59 47K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 18:59 15K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 18:59 173K 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 18:59 289K 
[   ]commit-lsp-0.1.0-r0.apk2025-05-10 03:12 2.2M 
[   ]comics-downloader-gui-0.33.8-r10.apk2025-05-14 00:10 5.7M 
[   ]comics-downloader-0.33.8-r10.apk2025-05-14 00:10 3.9M 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 18:59 4.2K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 18:59 2.7K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 18:59 4.0K 
[   ]codec2-dev-1.2.0-r0.apk2025-05-25 22:13 15K 
[   ]codec2-1.2.0-r0.apk2025-05-25 22:13 667K 
[   ]code-oss-zsh-completion-1.101.0-r0.apk2025-06-13 15:34 2.7K 
[   ]code-oss-bash-completion-1.101.0-r0.apk2025-06-13 15:34 2.2K 
[   ]code-oss-1.101.0-r0.apk2025-06-13 15:34 23M 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 19:36 8.0K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 19:36 356K 
[   ]cocogitto-zsh-completion-6.3.0-r0.apk2025-03-21 19:28 3.0K 
[   ]cocogitto-fish-completion-6.3.0-r0.apk2025-03-21 19:28 3.3K 
[   ]cocogitto-doc-6.3.0-r0.apk2025-03-21 19:28 38K 
[   ]cocogitto-bash-completion-6.3.0-r0.apk2025-03-21 19:28 3.0K 
[   ]cocogitto-6.3.0-r0.apk2025-03-21 19:28 1.8M 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 18:59 16K 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 18:59 2.9K 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 18:59 6.7M 
[   ]cmusfm-0.5.0-r0.apk2024-10-25 18:59 14K 
[   ]cm256cc-dev-1.1.1-r1.apk2025-02-08 23:48 15K 
[   ]cm256cc-1.1.1-r1.apk2025-02-08 23:48 9.3K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 18:59 112K 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 18:59 33K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 18:59 1.0M 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 18:59 261K 
[   ]cloudfoundry-cli-8.7.9-r9.apk2025-05-14 00:10 9.3M 
[   ]cloudflared-openrc-2024.12.1-r6.apk2025-06-25 03:45 1.8K 
[   ]cloudflared-doc-2024.12.1-r6.apk2025-06-25 03:45 1.9K 
[   ]cloudflared-2024.12.1-r6.apk2025-06-25 03:45 9.6M 
[   ]cloud-hypervisor-45.0-r0.apk2025-05-16 08:40 2.6M 
[   ]cln-doc-1.3.7-r1.apk2025-05-25 07:49 77K 
[   ]cln-dev-1.3.7-r1.apk2025-05-25 07:49 1.1M 
[   ]cln-1.3.7-r1.apk2025-05-25 07:49 453K 
[   ]cliquer-tests-1.22-r2.apk2024-10-25 18:59 24K 
[   ]cliquer-static-1.22-r2.apk2024-10-25 18:59 28K 
[   ]cliquer-libs-1.22-r2.apk2024-10-25 18:59 24K 
[   ]cliquer-dev-1.22-r2.apk2024-10-25 18:59 7.4K 
[   ]cliquer-1.22-r2.apk2024-10-25 18:59 7.2K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 18:59 2.4K 
[   ]clipit-1.4.5-r3.apk2024-10-25 18:59 65K 
[   ]cliphist-fzf-0.6.1-r5.apk2025-05-14 00:10 1.8K 
[   ]cliphist-0.6.1-r5.apk2025-05-14 00:10 966K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 18:59 6.5K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 18:59 47K 
[   ]click-pyc-0.5.2-r4.apk2025-02-22 14:38 175K 
[   ]click-doc-0.5.2-r4.apk2025-02-22 14:38 3.3K 
[   ]click-dev-0.5.2-r4.apk2025-02-22 14:38 9.1K 
[   ]click-0.5.2-r4.apk2025-02-22 14:38 157K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 18:59 4.7K 
[   ]clevis-doc-21-r0.apk2025-01-20 04:17 23K 
[   ]clevis-dbg-21-r0.apk2025-01-20 04:17 62K 
[   ]clevis-bash-completion-21-r0.apk2025-01-20 04:17 2.0K 
[   ]clevis-21-r0.apk2025-01-20 04:17 51K 
[   ]clementine-1.4.1_git20250503-r0.apk2025-06-12 13:59 6.2M 
[   ]clatd-1.6-r0.apk2024-10-25 18:59 13K 
[   ]clang-next-static-21.0.0_pre20250617-r0.apk2025-06-18 06:46 32M 
[   ]clang-next-rtlib-scudo-21.0.0_pre20250617-r0.apk2025-06-18 06:46 39K 
[   ]clang-next-rtlib-atomic-21.0.0_pre20250617-r0.apk2025-06-18 06:46 8.2K 
[   ]clang-next-rtlib-21.0.0_pre20250617-r0.apk2025-06-18 06:46 15M 
[   ]clang-next-libs-21.0.0_pre20250617-r0.apk2025-06-18 06:46 15M 
[   ]clang-next-libclang-21.0.0_pre20250617-r0.apk2025-06-18 06:46 8.9M 
[   ]clang-next-headers-21.0.0_pre20250617-r0.apk2025-06-18 06:46 1.0M 
[   ]clang-next-dev-21.0.0_pre20250617-r0.apk2025-06-18 06:46 3.8M 
[   ]clang-next-ccache-21.0.0_pre20250617-r0.apk2025-06-18 06:46 1.7K 
[   ]clang-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 9.0M 
[   ]ckb-next-dev-0.6.2-r0.apk2025-03-21 16:20 4.9K 
[   ]ckb-next-daemon-openrc-0.6.2-r0.apk2025-03-21 16:20 1.8K 
[   ]ckb-next-daemon-0.6.2-r0.apk2025-03-21 16:20 70K 
[   ]ckb-next-0.6.2-r0.apk2025-03-21 16:20 1.3M 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 18:59 18K 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 18:59 1.1M 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 18:59 19K 
[   ]cinny-web-4.8.1-r0.apk2025-06-16 15:41 5.3M 
[   ]cimg-3.4.1-r0.apk2024-10-25 18:59 826K 
[   ]cilium-cli-zsh-completion-0.16.13-r5.apk2025-05-14 00:10 4.1K 
[   ]cilium-cli-fish-completion-0.16.13-r5.apk2025-05-14 00:10 4.3K 
[   ]cilium-cli-bash-completion-0.16.13-r5.apk2025-05-14 00:10 5.1K 
[   ]cilium-cli-0.16.13-r5.apk2025-05-14 00:10 56M 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-10-25 18:59 231K 
[   ]chocolate-doom-3.1.0-r0.apk2024-10-25 18:59 1.6M 
[   ]chimerautils-dbg-14.2.1-r0.apk2025-05-25 23:19 3.0M 
[   ]chimerautils-14.2.1-r0.apk2025-05-25 23:19 1.2M 
[   ]chim-doc-1.1.2-r1.apk2024-10-25 18:59 2.8K 
[   ]chim-1.1.2-r1.apk2024-10-25 18:59 1.7M 
[   ]chicago95-icons-3.0.1_git20240619-r0.apk2024-10-25 18:59 12M 
[   ]chicago95-fonts-3.0.1_git20240619-r0.apk2024-10-25 18:59 215K 
[   ]chicago95-3.0.1_git20240619-r0.apk2024-10-25 18:59 481K 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 17:40 859K 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 17:40 2.1K 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 17:40 2.7M 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 18:59 4.2K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 18:59 368K 
[   ]chawan-doc-0.2.1-r0.apk2025-06-26 00:06 51K 
[   ]chawan-0.2.1-r0.apk2025-06-26 00:06 3.8M 
[   ]chasquid-openrc-1.15.0-r4.apk2025-05-14 00:10 2.0K 
[   ]chasquid-doc-1.15.0-r4.apk2025-05-14 00:10 15K 
[   ]chasquid-1.15.0-r4.apk2025-05-14 00:10 11M 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 18:59 27K 
[   ]charls-2.4.2-r0.apk2024-10-25 18:59 64K 
[   ]chamo-dev-4.0-r0.apk2024-10-25 18:59 4.1M 
[   ]chamo-byte-4.0-r0.apk2024-10-25 18:59 1.4M 
[   ]chamo-4.0-r0.apk2024-10-25 18:59 6.3M 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 18:59 4.1K 
[   ]cgo-0.6.1-r1.apk2024-10-25 18:59 9.9K 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 18:59 133K 
[   ]cfssl-1.6.5-r5.apk2025-05-14 00:10 30M 
[   ]certstrap-1.3.0-r24.apk2025-05-14 00:10 2.3M 
[   ]certigo-1.16.0-r23.apk2025-05-14 00:10 3.8M 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-10-25 18:59 3.9K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-10-25 18:59 8.9K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-27 23:05 4.2K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-27 23:05 9.3K 
[   ]cemu-lang-2.5-r0.apk2025-04-03 00:11 432K 
[   ]cemu-2.5-r0.apk2025-04-03 00:11 20M 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 18:59 33M 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 18:59 128K 
[   ]cdist-7.0.0-r6.apk2024-10-25 18:59 511K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 18:59 35K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 18:59 251K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 18:59 864K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 18:59 14K 
[   ]cddlib-0.94m-r2.apk2024-10-25 18:59 184K 
[   ]cdba-server-1.0-r2.apk2024-10-25 18:59 21K 
[   ]cdba-1.0-r2.apk2024-10-25 18:59 7.6K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 18:59 8.8K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 18:59 3.3K 
[   ]ccze-0.2.1-r1.apk2024-10-25 18:59 51K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 18:59 31K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 18:59 53K 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 18:59 91K 
[   ]cc65-2.19-r0.apk2024-10-25 18:59 8.9M 
[   ]cbqn-0.9.0-r0.apk2025-03-25 15:33 656K 
[   ]cava-0.10.4-r1.apk2025-02-22 14:38 42K 
[   ]catfish-pyc-4.20.0-r0.apk2024-12-27 10:36 101K 
[   ]catfish-lang-4.20.0-r0.apk2024-12-27 10:36 162K 
[   ]catfish-doc-4.20.0-r0.apk2024-12-27 10:36 13K 
[   ]catfish-4.20.0-r0.apk2024-12-27 10:36 126K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 18:59 9.2K 
[   ]catdoc-0.95-r1.apk2024-10-25 18:59 108K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 18:59 4.9K 
[   ]catcodec-1.0.5-r2.apk2024-10-25 18:59 12K 
[   ]cataclysm-dda-tiles-0h-r0.apk2025-03-21 16:20 49M 
[   ]cataclysm-dda-lang-0h-r0.apk2025-03-21 16:20 38M 
[   ]cataclysm-dda-doc-0h-r0.apk2025-03-21 16:20 4.6K 
[   ]cataclysm-dda-curses-0h-r0.apk2025-03-21 16:20 12M 
[   ]cataclysm-dda-0h-r0.apk2025-03-21 16:20 19M 
[   ]castor-0.9.0-r2.apk2024-10-25 18:59 723K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-14 18:16 94K 
[   ]castero-0.9.5-r4.apk2025-05-14 18:16 50K 
[   ]cargo-vendor-filterer-0.5.9-r1.apk2024-10-25 18:59 444K 
[   ]cargo-update-doc-16.2.1-r0.apk2025-03-24 04:33 8.3K 
[   ]cargo-update-16.2.1-r0.apk2025-03-24 04:33 1.1M 
[   ]cargo-udeps-doc-0.1.56-r2.apk2025-06-08 16:03 7.5K 
[   ]cargo-udeps-0.1.56-r2.apk2025-06-08 16:03 4.5M 
[   ]cargo-shuttle-zsh-completion-0.55.0-r0.apk2025-05-22 16:45 7.7K 
[   ]cargo-shuttle-fish-completion-0.55.0-r0.apk2025-05-22 16:45 8.2K 
[   ]cargo-shuttle-doc-0.55.0-r0.apk2025-05-22 16:45 8.9K 
[   ]cargo-shuttle-bash-completion-0.55.0-r0.apk2025-05-22 16:45 4.9K 
[   ]cargo-shuttle-0.55.0-r0.apk2025-05-22 16:45 4.6M 
[   ]cargo-show-asm-doc-0.2.49-r0.apk2025-04-13 21:31 10K 
[   ]cargo-show-asm-0.2.49-r0.apk2025-04-13 21:31 865K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 18:59 5.1K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 18:59 442K 
[   ]cargo-machete-doc-0.8.0-r0.apk2025-05-25 23:25 4.0K 
[   ]cargo-machete-0.8.0-r0.apk2025-05-25 23:25 1.2M 
[   ]cargo-leptos-doc-0.2.33-r0.apk2025-04-30 22:48 2.2K 
[   ]cargo-leptos-0.2.33-r0.apk2025-04-30 22:48 10M 
[   ]cargo-generate-0.23.3-r0.apk2025-05-14 00:10 2.3M 
[   ]cargo-geiger-doc-0.12.0-r0.apk2025-05-26 11:08 7.7K 
[   ]cargo-geiger-0.12.0-r0.apk2025-05-26 11:08 5.2M 
[   ]cargo-crev-0.26.3-r0.apk2025-03-02 16:12 6.0M 
[   ]care-doc-2.3.0-r1.apk2024-10-25 18:59 7.9K 
[   ]care-2.3.0-r1.apk2024-10-25 18:59 95K 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 18:59 4.4K 
[   ]caprine-2.60.3-r2.apk2025-05-10 23:27 16M 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-14 10:10 37K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-14 10:10 44K 
[   ]candyboot-0.1.0-r0.apk2025-06-11 12:34 23K 
[   ]calibre-zsh-completion-8.5.0-r0.apk2025-06-25 07:44 45K 
[   ]calibre-pyc-8.5.0-r0.apk2025-06-25 07:44 2.6K 
[   ]calibre-doc-8.5.0-r0.apk2025-06-25 07:44 1.9M 
[   ]calibre-bash-completion-8.5.0-r0.apk2025-06-25 07:44 5.3K 
[   ]calibre-8.5.0-r0.apk2025-06-25 07:44 40M 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-25 18:59 25K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 18:59 34K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 18:59 3.2K 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 18:59 100K 
[   ]cadence-0.9.2-r0.apk2024-10-25 18:59 1.9M 
[   ]bzmenu-0.2.1-r0.apk2025-05-18 22:28 1.1M 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 18:59 2.5K 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 18:59 16K 
[   ]butane-0.24.0-r0.apk2025-05-30 05:47 3.3M 
[   ]burp-server-3.1.4-r0.apk2024-10-25 18:59 36K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 18:59 99K 
[   ]burp-3.1.4-r0.apk2024-10-25 18:59 170K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 18:59 29K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 18:59 21K 
[   ]buildcache-0.28.9-r0.apk2024-10-25 18:59 798K 
[   ]build-next-overlay-21.0.0_pre20250617-r0.apk2025-06-18 06:46 2.9K 
[   ]build-next-gas-21.0.0_pre20250617-r0.apk2025-06-18 06:46 831K 
[   ]build-next-bfd-21.0.0_pre20250617-r0.apk2025-06-18 06:46 907K 
[   ]build-next-21.0.0_pre20250617-r0.apk2025-06-18 06:46 1.6K 
[   ]buf-zsh-completion-1.54.0-r0.apk2025-05-16 08:40 4.0K 
[   ]buf-protoc-plugins-1.54.0-r0.apk2025-05-16 08:40 15M 
[   ]buf-fish-completion-1.54.0-r0.apk2025-05-16 08:40 4.3K 
[   ]buf-bash-completion-1.54.0-r0.apk2025-05-16 08:40 8.6K 
[   ]buf-1.54.0-r0.apk2025-05-16 08:40 14M 
[   ]btpd-doc-0.16-r2.apk2024-10-25 18:59 8.4K 
[   ]btpd-0.16-r2.apk2024-10-25 18:59 80K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 18:59 2.4K 
[   ]btfs-2.24-r12.apk2024-10-25 18:59 29K 
[   ]btcd-0.24.0-r8.apk2025-05-14 00:10 16M 
[   ]brltty-static-6.7-r1.apk2025-04-03 00:11 24K 
[   ]brltty-lang-6.7-r1.apk2025-04-03 00:11 149K 
[   ]brltty-doc-6.7-r1.apk2025-04-03 00:11 9.4K 
[   ]brltty-dev-6.7-r1.apk2025-04-03 00:11 140K 
[   ]brltty-6.7-r1.apk2025-04-03 00:11 2.1M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 18:59 1.6M 
[   ]brial-1.2.11-r4.apk2024-10-25 18:59 1.0M 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 18:59 7.1K 
[   ]boxes-2.3.1-r0.apk2024-10-25 18:59 77K 
[   ]botan2-libs-2.19.5-r0.apk2025-05-28 21:36 2.7M 
[   ]botan2-doc-2.19.5-r0.apk2025-05-28 21:36 306K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-28 21:36 312K 
[   ]botan2-2.19.5-r0.apk2025-05-28 21:36 420K 
[   ]boson-0_git20211219-r0.apk2024-10-25 18:59 17K 
[   ]bore-0.5.2-r0.apk2024-12-15 19:29 535K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 18:59 2.4K 
[   ]bootterm-0.5-r0.apk2024-10-25 18:59 18K 
[   ]bootloose-0.7.1-r11.apk2025-05-14 00:10 2.3M 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 18:59 8.2K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 18:59 19K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 10:13 136K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 18:59 628K 
[   ]bomctl-zsh-completion-0.1.9-r6.apk2025-05-14 00:10 4.1K 
[   ]bomctl-fish-completion-0.1.9-r6.apk2025-05-14 00:10 4.3K 
[   ]bomctl-bash-completion-0.1.9-r6.apk2025-05-14 00:10 5.1K 
[   ]bomctl-0.1.9-r6.apk2025-05-14 00:10 9.6M 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 18:59 124K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 18:59 202K 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 18:59 877K 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 18:59 1.0M 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 18:59 8.0K 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 18:59 583K 
[   ]boinc-7.24.3-r0.apk2024-10-25 18:59 1.5M 
[   ]bochs-doc-2.8-r1.apk2025-02-22 14:38 139K 
[   ]bochs-2.8-r1.apk2025-02-22 14:38 920K 
[   ]bobcat-doc-4.09.00-r0.apk2024-10-25 18:59 345K 
[   ]bobcat-dev-4.09.00-r0.apk2024-10-25 18:59 643K 
[   ]bobcat-4.09.00-r0.apk2024-10-25 18:59 656K 
[   ]boa-cli-0.20-r0.apk2024-12-05 21:07 7.1M 
[   ]bmk-doc-0.1-r0.apk2025-06-21 07:06 6.6K 
[   ]bmk-0.1-r0.apk2025-06-21 07:06 27K 
[   ]bluez-tools-doc-0_git20201025-r0.apk2025-06-09 08:50 15K 
[   ]bluez-tools-0_git20201025-r0.apk2025-06-09 08:50 255K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 18:59 107K 
[   ]bliss-0.77-r1.apk2024-10-25 18:59 69K 
[   ]blip-doc-0.10-r0.apk2024-10-25 18:59 32K 
[   ]blip-0.10-r0.apk2024-10-25 18:59 15K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 18:59 16K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 18:59 7.3K 
[   ]bkt-0.8.0-r0.apk2024-10-25 18:59 360K 
[   ]bitritter-0.1.1-r0.apk2024-10-25 18:59 2.3M 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 18:59 47K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 18:59 59K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 18:59 407K 
[   ]bionic_translation-dev-0_git20250324-r0.apk2025-03-24 22:05 1.7K 
[   ]bionic_translation-dbg-0_git20250324-r0.apk2025-03-24 22:05 131K 
[   ]bionic_translation-0_git20250324-r0.apk2025-03-24 22:05 47K 
[   ]biometryd-dev-0.3.1-r7.apk2025-02-22 14:38 13K 
[   ]biometryd-0.3.1-r7.apk2025-02-22 14:38 298K 
[   ]biome-1.9.4-r1.apk2024-10-27 18:06 5.3M 
[   ]binwalk-3.1.0-r0.apk2025-02-07 15:46 1.0M 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-19 08:45 9.1K 
[   ]bindfs-1.17.7-r1.apk2025-06-19 08:45 21K 
[   ]biboumi-openrc-9.0-r8.apk2025-05-28 21:36 1.9K 
[   ]biboumi-doc-9.0-r8.apk2025-05-28 21:36 1.5K 
[   ]biboumi-9.0-r8.apk2025-05-28 21:36 275K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 18:59 2.3K 
[   ]bgs-0.8-r1.apk2024-10-25 18:59 5.7K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 18:59 6.3K 
[   ]bgpq4-1.15-r0.apk2024-10-25 18:59 33K 
[   ]bettercap-doc-2.41.0-r2.apk2025-05-29 12:00 14K 
[   ]bettercap-2.41.0-r2.apk2025-05-29 12:00 19M 
[   ]beszel-openrc-0.11.1-r1.apk2025-05-14 00:10 2.1K 
[   ]beszel-agent-openrc-0.11.1-r1.apk2025-05-14 00:10 2.0K 
[   ]beszel-agent-0.11.1-r1.apk2025-05-14 00:10 3.3M 
[   ]beszel-0.11.1-r1.apk2025-05-14 00:10 11M 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 18:59 18M 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 18:59 1.7K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 18:59 21K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 18:59 113K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 12:52 15K 
[   ]belr-5.3.105-r0.apk2025-02-25 12:52 107K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 12:51 54K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 12:51 628K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 12:48 207K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 12:48 11K 
[   ]belcard-5.3.105-r0.apk2025-02-25 12:48 12K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 18:59 1.9K 
[   ]bees-0.10-r2.apk2024-10-25 18:59 290K 
[   ]beard-doc-0.4-r0.apk2024-10-25 18:59 2.5K 
[   ]beard-0.4-r0.apk2024-10-25 18:59 3.1K 
[   ]beancount-language-server-1.3.6-r0.apk2024-11-05 23:46 1.3M 
[   ]bdfr-2.6.2-r1.apk2024-10-25 18:59 131K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 18:59 3.0K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 18:59 6.9K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 18:59 3.5K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 18:59 33K 
[   ]bazel8-bash-completion-8.2.1-r0.apk2025-04-22 21:08 56K 
[   ]bazel8-8.2.1-r0.apk2025-04-22 21:08 34M 
[   ]bazel7-bash-completion-7.6.1-r1.apk2025-04-18 05:47 48K 
[   ]bazel7-7.6.1-r1.apk2025-04-18 05:47 30M 
[   ]bazel6-bash-completion-6.5.0-r0.apk2024-10-25 18:59 42K 
[   ]bazel6-6.5.0-r0.apk2024-10-25 18:59 30M 
[   ]battery-limit-openrc-1-r0.apk2025-06-17 10:22 1.8K 
[   ]batmon-0.0.1-r0.apk2024-10-25 18:59 439K 
[   ]bash-pinyin-completion-rs-doc-0.2.3-r0.apk2025-04-30 22:48 14K 
[   ]bash-pinyin-completion-rs-0.2.3-r0.apk2025-04-30 22:48 642K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 18:59 5.3K 
[   ]base64c-0.2.1-r0.apk2024-10-25 18:59 4.2K 
[   ]bartib-1.0.1-r1.apk2024-10-25 18:59 354K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-22 14:38 13K 
[   ]barrier-2.4.0-r2.apk2025-02-22 14:38 939K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 18:59 2.8K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 18:59 125K 
[   ]barman-pyc-3.14.1-r0.apk2025-06-25 14:18 572K 
[   ]barman-doc-3.14.1-r0.apk2025-06-25 14:18 85K 
[   ]barman-bash-completion-3.14.1-r0.apk2025-06-25 14:18 1.6K 
[   ]barman-3.14.1-r0.apk2025-06-25 14:18 361K 
[   ]baresip-dev-3.20.0-r1.apk2025-06-02 03:03 16K 
[   ]baresip-3.20.0-r1.apk2025-06-02 03:03 1.0M 
[   ]bananui-shell-0.2.0-r0.apk2024-10-25 18:59 103K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 18:59 91K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 18:59 8.5K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 18:59 173K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 18:59 44K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 18:59 6.6K 
[   ]bananui-2.0.0-r0.apk2024-10-25 18:59 54K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 18:59 34K 
[   ]bake-2.5.1-r0.apk2024-10-25 18:59 109K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-27 21:37 1.4K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-27 21:37 1.3K 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-27 21:37 1.3K 
[   ]baikal-0.10.1-r1.apk2025-05-27 21:37 1.3M 
[   ]bacon-3.16.0-r0.apk2025-06-23 13:33 1.8M 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 18:59 55K 
[   ]babashka-1.3.188-r0.apk2024-10-25 18:59 23M 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 18:59 2.7K 
[   ]b2sum-20190729-r2.apk2024-10-25 18:59 15K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-03 10:05 136K 
[   ]b2-tools-4.3.2-r0.apk2025-05-03 10:05 72K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 18:59 780K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 15:08 42K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 15:08 802K 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 21:22 98K 
[   ]azote-1.14.0-r0.apk2024-12-14 21:22 7.6M 
[   ]aws-lc-tools-1.53.1-r0.apk2025-06-19 04:17 126K 
[   ]aws-lc-dev-1.53.1-r0.apk2025-06-19 04:17 416K 
[   ]aws-lc-1.53.1-r0.apk2025-06-19 04:17 1.5M 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 18:59 255K 
[   ]avra-1.4.2-r0.apk2024-10-25 18:59 39K 
[   ]avida-0_git20190813-r1.apk2024-10-25 18:59 1.9M 
[   ]avarice-doc-2.14-r4.apk2024-10-25 18:59 9.4K 
[   ]avarice-2.14-r4.apk2024-10-25 18:59 65K 
[   ]avara-0.7.1-r1.apk2024-11-04 08:53 21M 
[   ]avahi2dns-openrc-0.1.0-r1.apk2025-05-14 00:10 1.8K 
[   ]avahi2dns-0.1.0-r1.apk2025-05-14 00:10 2.5M 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 18:59 14K 
[   ]autotrash-0.4.7-r0.apk2024-10-25 18:59 23K 
[   ]autoscan-openrc-1.4.0-r11.apk2025-05-14 00:10 2.1K 
[   ]autoscan-1.4.0-r11.apk2025-05-14 00:10 5.5M 
[   ]autorestic-1.8.3-r5.apk2025-05-14 00:10 3.9M 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 18:59 54K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 18:59 12K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 18:59 35K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 18:59 5.5K 
[   ]authenticator-rs-lang-0.7.5-r0.apk2024-10-25 18:59 3.7K 
[   ]authenticator-rs-0.7.5-r0.apk2024-10-25 18:59 1.9M 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 18:59 34K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 18:59 1.5K 
[   ]aufs-util-20161219-r3.apk2024-10-25 18:59 176K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 18:59 9.6K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 18:59 2.0K 
[   ]atool-0.39.0-r4.apk2024-10-25 18:59 18K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 18:59 108K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 18:59 69K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 18:59 79K 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 18:59 379K 
[   ]atari800-doc-5.2.0-r0.apk2024-10-25 18:59 56K 
[   ]atari800-5.2.0-r0.apk2024-10-25 18:59 339K 
[   ]atac-0.18.1-r0.apk2024-11-25 21:56 4.9M 
[   ]asymptote-doc-3.04-r0.apk2025-05-31 06:51 3.1M 
[   ]asymptote-3.04-r0.apk2025-05-31 06:51 1.4M 
[   ]aspnetcore6-targeting-pack-6.0.36-r1.apk2024-11-25 04:49 2.0M 
[   ]aspnetcore6-runtime-6.0.36-r1.apk2024-11-25 04:49 8.7M 
[   ]aspell-es-1.11-r0.apk2024-10-25 18:59 533K 
[   ]asdf-doc-0.18.0-r0.apk2025-06-07 15:21 2.2K 
[   ]asdf-0.18.0-r0.apk2025-06-07 15:21 1.7M 
[   ]asciinema-rs-0.5.1-r3.apk2024-10-25 18:59 1.1M 
[   ]art_standalone-dev-0_git20250325-r1.apk2025-04-28 18:58 8.6M 
[   ]art_standalone-dbg-0_git20250325-r1.apk2025-04-28 18:58 138M 
[   ]art_standalone-0_git20250325-r1.apk2025-04-28 18:58 18M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 18:59 92K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 18:59 1.5M 
[   ]arj-doc-0_git20220125-r1.apk2024-10-25 18:59 10K 
[   ]arj-0_git20220125-r1.apk2024-10-25 18:59 127K 
[   ]argocd-zsh-completion-3.0.0-r1.apk2025-05-14 00:10 4.0K 
[   ]argocd-doc-3.0.0-r1.apk2025-05-14 00:10 5.5K 
[   ]argocd-bash-completion-3.0.0-r1.apk2025-05-14 00:10 21K 
[   ]argocd-3.0.0-r1.apk2025-05-14 00:10 41M 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 18:59 7.7K 
[   ]arc-theme-20221218-r0.apk2024-10-25 18:59 1.4K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 18:59 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 18:59 7.7K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 18:59 17K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 18:59 113K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 18:59 125K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 18:59 38K 
[   ]arc-lighter-20221218-r0.apk2024-10-25 18:59 1.8K 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 18:59 4.4M 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 18:59 114K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 18:59 126K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 18:59 38K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 18:59 29K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 18:59 7.9K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 18:59 17K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 18:59 110K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 18:59 124K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 18:59 39K 
[   ]arc-darker-20221218-r0.apk2024-10-25 18:59 1.8K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 18:59 7.9K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 18:59 17K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 18:59 86K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 18:59 93K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 18:59 38K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 18:59 27K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 18:59 68K 
[   ]arc-dark-20221218-r0.apk2024-10-25 18:59 1.8K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 18:59 68K 
[   ]arc-20221218-r0.apk2024-10-25 18:59 1.7K 
[   ]aravis-viewer-lang-0.8.31-r0.apk2024-10-25 18:59 16K 
[   ]aravis-viewer-0.8.31-r0.apk2024-10-25 18:59 66K 
[   ]aravis-libs-0.8.31-r0.apk2024-10-25 18:59 175K 
[   ]aravis-dev-0.8.31-r0.apk2024-10-25 18:59 34K 
[   ]aravis-0.8.31-r0.apk2024-10-25 18:59 44K 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 18:59 7.5K 
[   ]aqemu-0.9.4-r3.apk2024-10-25 18:59 1.6M 
[   ]apx-doc-2.4.4-r6.apk2025-05-14 00:10 2.4K 
[   ]apx-2.4.4-r6.apk2025-05-14 00:10 3.6M 
[   ]apulse-doc-0.1.13-r2.apk2024-10-25 18:59 2.8K 
[   ]apulse-0.1.13-r2.apk2024-10-25 18:59 40K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-08 23:48 15K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-08 23:48 3.4K 
[   ]aptdec-1.8.0-r1.apk2025-02-08 23:48 85K 
[   ]apt-swarm-zsh-completion-0.5.1-r0.apk2025-05-26 10:54 5.9K 
[   ]apt-swarm-openrc-0.5.1-r0.apk2025-05-26 10:54 1.9K 
[   ]apt-swarm-fish-completion-0.5.1-r0.apk2025-05-26 10:54 5.7K 
[   ]apt-swarm-bash-completion-0.5.1-r0.apk2025-05-26 10:54 4.2K 
[   ]apt-swarm-0.5.1-r0.apk2025-05-26 10:54 3.0M 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 18:59 4.6K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 18:59 9.4K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 18:59 13K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 18:59 9.9K 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 18:59 57K 
[   ]aprilsh-server-0.7.12-r5.apk2025-05-14 00:10 2.5M 
[   ]aprilsh-openrc-0.7.12-r5.apk2025-05-14 00:10 1.8K 
[   ]aprilsh-doc-0.7.12-r5.apk2025-05-14 00:10 14K 
[   ]aprilsh-client-0.7.12-r5.apk2025-05-14 00:10 3.3M 
[   ]aprilsh-0.7.12-r5.apk2025-05-14 00:10 1.6K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 21:55 258K 
[   ]appcenter-8.0.0-r0.apk2024-11-12 21:55 406K 
[   ]apostrophe-revealjs-5.1.0-r0.apk2024-10-25 18:59 2.4M 
[   ]apostrophe-pyc-3.2-r0.apk2024-10-25 18:59 134K 
[   ]apostrophe-lang-3.2-r0.apk2024-10-25 18:59 198K 
[   ]apostrophe-3.2-r0.apk2024-10-25 18:59 162K 
[   ]aports-glmr-0.2-r28.apk2025-05-14 00:10 2.7M 
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2024-10-25 18:59 2.4K 
[   ]apmpkg-fish-completion-1.5.1-r3.apk2024-10-25 18:59 2.1K 
[   ]apmpkg-doc-1.5.1-r3.apk2024-10-25 18:59 3.0K 
[   ]apmpkg-bash-completion-1.5.1-r3.apk2024-10-25 18:59 2.2K 
[   ]apmpkg-1.5.1-r3.apk2024-10-25 18:59 1.6M 
[   ]apk-tools3-static-3.0.0_rc4_git20250421-r0.apk2025-05-14 00:10 2.3M 
[   ]apk-tools3-doc-3.0.0_rc4_git20250421-r0.apk2025-05-14 00:10 44K 
[   ]apk-tools3-dev-3.0.0_rc4_git20250421-r0.apk2025-05-14 00:10 24K 
[   ]apk-tools3-dbg-3.0.0_rc4_git20250421-r0.apk2025-05-14 00:10 659K 
[   ]apk-tools3-3.0.0_rc4_git20250421-r0.apk2025-05-14 00:10 53K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 18:59 20K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 18:59 6.6K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-17 12:51 7.0K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-17 12:51 13K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 18:59 4.6K 
[   ]apache2-mod-perl-doc-2.0.13-r1.apk2024-10-25 18:59 303K 
[   ]apache2-mod-perl-dev-2.0.13-r1.apk2024-10-25 18:59 40K 
[   ]apache2-mod-perl-dbg-2.0.13-r1.apk2024-10-25 18:59 63K 
[   ]apache2-mod-perl-2.0.13-r1.apk2024-10-25 18:59 665K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 10:23 11K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 18:59 10K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 18:59 8.2K 
[   ]apache-mod-auth-openidc-static-2.4.16.11-r1.apk2025-05-22 07:16 290K 
[   ]apache-mod-auth-openidc-doc-2.4.16.11-r1.apk2025-05-22 07:16 4.4K 
[   ]apache-mod-auth-openidc-2.4.16.11-r1.apk2025-05-22 07:16 223K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 18:59 60K 
[   ]aoetools-doc-37-r2.apk2025-01-14 15:29 14K 
[   ]aoetools-37-r2.apk2025-01-14 15:29 21K 
[   ]anubis-openrc-1.19.1-r0.apk2025-06-02 10:58 1.8K 
[   ]anubis-doc-1.19.1-r0.apk2025-06-02 10:58 2.3K 
[   ]anubis-1.19.1-r0.apk2025-06-02 10:58 5.9M 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-13 13:55 24K 
[   ]antimicrox-3.5.1-r0.apk2025-06-13 13:55 1.6M 
[   ]antibody-6.1.1-r28.apk2025-05-14 00:10 1.9M 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 18:59 3.0K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 18:59 4.7K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-25 18:59 65K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-25 18:59 10K 
[   ]ansible-bender-0.10.1-r2.apk2024-10-25 18:59 36K 
[   ]angband-4.2.5-r0.apk2024-10-25 18:59 23M 
[   ]android-translation-layer-dbg-0_git20250402-r0.apk2025-04-03 00:11 806K 
[   ]android-translation-layer-0_git20250402-r0.apk2025-04-03 00:11 2.7M 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-24 23:02 129K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-24 23:02 1.6K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-24 23:02 111K 
[   ]android-file-transfer-4.5-r0.apk2025-06-24 23:02 194K 
[   ]android-apktool-2.11.1-r0.apk2025-04-09 02:09 23M 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 18:59 2.0M 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 18:59 181K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 18:59 59K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 18:59 289K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 18:59 18K 
[   ]anarch-1.0-r1.apk2024-10-25 18:59 92K 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 18:59 1.6M 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 18:59 281K 
[   ]amule-2.3.3-r13.apk2024-10-25 18:59 3.9M 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-21 16:20 19K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-21 16:20 4.1K 
[   ]ampy-1.1.0-r6.apk2025-03-21 16:20 15K 
[   ]amiitool-2-r2.apk2024-10-25 18:59 7.8K 
[   ]amdgpu_top-doc-0.10.5-r0.apk2025-06-12 22:33 4.0K 
[   ]amdgpu_top-0.10.5-r0.apk2025-06-12 22:33 7.0M 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 18:59 9.6K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 18:59 14K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 16:47 6.7K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 16:47 211K 
[   ]amber-0.4.0-r0.apk2025-06-19 00:46 534K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 18:59 10K 
[   ]alttab-1.7.1-r0.apk2024-10-25 18:59 37K 
[   ]alps-openrc-0_git20230807-r12.apk2025-05-14 00:10 2.0K 
[   ]alps-0_git20230807-r12.apk2025-05-14 00:10 5.7M 
[   ]alda-2.3.2-r0.apk2025-05-27 10:21 18M 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 18:59 4.0K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 18:59 4.5K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 18:59 2.6K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 18:59 18K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 18:59 6.4K 
[   ]airsonic-advanced-openrc-11.0.0_git20230217-r0.apk2024-10-25 18:59 1.8K 
[   ]airsonic-advanced-11.0.0_git20230217-r0.apk2024-10-25 18:59 91M 
[   ]aide-doc-0.18.8-r0.apk2024-10-25 18:59 14K 
[   ]aide-0.18.8-r0.apk2024-10-25 18:59 79K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 18:59 4.1K 
[   ]agrep-0.8.0-r2.apk2024-10-25 18:59 8.3K 
[   ]agate-openrc-3.3.8-r0.apk2024-10-25 18:59 2.0K 
[   ]agate-3.3.8-r0.apk2024-10-25 18:59 915K 
[   ]afew-doc-3.0.1-r0.apk2025-05-18 22:30 12K 
[   ]afew-3.0.1-r0.apk2025-05-18 22:30 73K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 18:59 14K 
[   ]afetch-2.2.0-r1.apk2024-10-25 18:59 9.0K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 18:59 7.3K 
[   ]advancescan-1.18-r1.apk2024-10-25 18:59 268K 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 18:59 3.9M 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 18:59 903K 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 18:59 374K 
[   ]advancemame-data-3.9-r4.apk2024-10-25 18:59 5.8M 
[   ]advancemame-3.9-r4.apk2024-10-25 18:59 12M 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 18:59 23K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 18:59 4.0K 
[   ]admesh-0.98.5-r0.apk2024-10-25 18:59 26K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 18:59 7.1K 
[   ]adjtimex-1.29-r0.apk2024-10-25 18:59 19K 
[   ]adguardhome-openrc-0.107.62-r0.apk2025-06-11 12:36 2.2K 
[   ]adguardhome-0.107.62-r0.apk2025-06-11 12:36 11M 
[   ]adbd-0_git20250325-r1.apk2025-04-28 18:58 41K 
[   ]acmetool-doc-0.2.2-r13.apk2025-05-14 00:10 47K 
[   ]acmetool-0.2.2-r13.apk2025-05-14 00:10 4.7M 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 18:59 49K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 18:59 159K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-27 21:26 5.1K 
[   ]abnfgen-0.21-r0.apk2025-05-27 21:26 18K 
[   ]abc-0_git20240102-r0.apk2024-10-25 18:59 4.9M 
[   ]ab-tidy-0.1.0-r2.apk2025-02-23 20:55 80K 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 18:59 4.2K 
[   ]a2jmidid-9-r3.apk2024-10-25 18:59 29K 
[   ]APKINDEX.tar.gz2025-06-27 04:23 1.0M 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-02 03:03 2.9K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-02 03:03 39K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-02 03:03 2.0K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-02 03:03 107K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-02 03:03 55K 
[   ]66-init-0.8.2.1-r0.apk2025-06-03 19:11 1.5K 
[   ]66-doc-0.8.2.1-r0.apk2025-06-03 19:11 190K 
[   ]66-dev-0.8.2.1-r0.apk2025-06-03 19:11 1.9M 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-03 19:11 757K 
[   ]66-0.8.2.1-r0.apk2025-06-03 19:11 293K 
[   ]9base-troff-6-r2.apk2024-10-25 18:59 485K 
[   ]9base-doc-6-r2.apk2024-10-25 18:59 64K 
[   ]9base-6-r2.apk2024-10-25 18:59 1.8M 
[   ]3proxy-openrc-0.9.4-r2.apk2025-04-04 06:07 1.6K 
[   ]3proxy-doc-0.9.4-r2.apk2025-04-04 06:07 25K 
[   ]3proxy-0.9.4-r2.apk2025-04-04 06:07 342K